OpenCores
URL https://opencores.org/ocsvn/jart/jart/trunk

Subversion Repositories jart

[/] [jart/] [trunk/] [BL01/] [block01.vhd] - Diff between revs 3 and 5

Go to most recent revision | Only display areas with differences | Details | Blame | View Log

Rev 3 Rev 5
-- Author : Julian Andres Guarin Reyes.
-- Author : Julian Andres Guarin Reyes.
-- Project : JART, Just Another Ray Tracer.
-- Project : JART, Just Another Ray Tracer.
 
-- email : jguarin2002 at gmail.com, j.guarin at javeriana.edu.co
 
 
-- This code was entirely written by Julian Andres Guarin Reyes.
-- This code was entirely written by Julian Andres Guarin Reyes.
-- The following code is licensed under GNU Public License
-- The following code is licensed under GNU Public License
-- http://www.gnu.org/licenses/gpl-3.0.txt.
-- http://www.gnu.org/licenses/gpl-3.0.txt.
 
 
 -- This file is part of JART (Just Another Ray Tracer).
 -- This file is part of JART (Just Another Ray Tracer).
 
 
    -- JART (Just Another Ray Tracer) is free software: you can redistribute it and/or modify
    -- JART (Just Another Ray Tracer) is free software: you can redistribute it and/or modify
    -- it under the terms of the GNU General Public License as published by
    -- it under the terms of the GNU General Public License as published by
    -- the Free Software Foundation, either version 3 of the License, or
    -- the Free Software Foundation, either version 3 of the License, or
    -- (at your option) any later version.
    -- (at your option) any later version.
 
 
    -- JART (Just Another Ray Tracer) is distributed in the hope that it will be useful,
    -- JART (Just Another Ray Tracer) is distributed in the hope that it will be useful,
    -- but WITHOUT ANY WARRANTY; without even the implied warranty of
    -- but WITHOUT ANY WARRANTY; without even the implied warranty of
    -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
    -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
    -- GNU General Public License for more details.
    -- GNU General Public License for more details.
 
 
    -- You should have received a copy of the GNU General Public License
    -- You should have received a copy of the GNU General Public License
    -- along with JART (Just Another Ray Tracer).  If not, see <http://www.gnu.org/licenses/>.
    -- along with JART (Just Another Ray Tracer).  If not, see <http://www.gnu.org/licenses/>.
 
 
 
 
-- The following HDL is compares 2 32 bit numbers instantiating a comparator.   
-- The following HDL compares 2 32 bit numbers instantiating a comparator.   
-- vd is one operand and k the other.
-- vd is one operand and k the other.
-- i is the result 1 if vd is grater or equal than k.
-- i is the result 1 if vd is grater or equal than k.
 
 
-- The comparator instantiation is called intersection, cause this block function is to decide wheter or not a ray intersects a sphere making the vd and k, 
-- The comparator instantiation is called intersection, cause this block function is to decide wheter or not a ray intersects a sphere making the vd and k, 
-- comparison.
-- comparison.
 
 
library ieee;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
 
 
 
 
 
 
entity bl01 is
entity bl01 is
        port (
        port (
                vd:     in std_logic_vector (31 downto 0);
                vd:     in std_logic_vector (31 downto 0);
                k :     in std_logic_vector (31 downto 0);
                k :     in std_logic_vector (31 downto 0);
                i :     out std_logic
                i :     out std_logic
        );
        );
 
 
 
 
end entity;
end entity;
architecture rtl of bl01 is
architecture rtl of bl01 is
        component compare_A15_16 is
        component compare_A15_16 is
        port
        port
        (
        (
                dataa           : in std_logic_vector(31 downto 0);
                dataa           : in std_logic_vector(31 downto 0);
                datab           : in std_logic_vector(31 downto 0);
                datab           : in std_logic_vector(31 downto 0);
                AgeB            : out std_logic
                AgeB            : out std_logic
        );
        );
        end component;
        end component;
 
 
begin
begin
 
 
        intersection : compare_A15_16 port map ( dataa => vd, datab => k, AgeB => i);
        intersection : compare_A15_16 port map ( dataa => vd, datab => k, AgeB => i);
 
 
end rtl;
end rtl;
 
 
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.