OpenCores
URL https://opencores.org/ocsvn/line_codes/line_codes/trunk

Subversion Repositories line_codes

[/] [line_codes/] [trunk/] [bench/] [vhdl/] [smlt_hdb1_dec.vhd] - Diff between revs 2 and 8

Only display areas with differences | Details | Blame | View Log

Rev 2 Rev 8
-- smlttion for HDB1 decoder. 
-- smlttion for HDB1 decoder. 
 
 
entity smlt_hdb1_dec is
entity smlt_hdb1_dec is
end smlt_hdb1_dec;
end smlt_hdb1_dec;
 
 
architecture behaviour of smlt_hdb1_dec is
architecture behaviour of smlt_hdb1_dec is
        --data type: 
        --data type: 
        component hdb1_dec
        component hdb1_dec
        port (
        port (
                clr_bar,
                clr_bar,
                clk, e0, e1 : in  bit;
                clk, e0, e1 : in  bit;
                s           : out bit);
                s           : out bit);
        end component;
        end component;
        --binding: 
        --binding: 
        for a: hdb1_dec use entity work.hdb1_dec;
        for a: hdb1_dec use entity work.hdb1_dec;
 
 
        --declaring the signals present in this architecture: 
        --declaring the signals present in this architecture: 
        signal CLK, S, E0, E1, clrb: bit;
        signal CLK, S, E0, E1, clrb: bit;
        signal input0, input1: bit_vector(0 to 24);
        signal input0, input1: bit_vector(0 to 24);
 
 
        begin --architecture. 
        begin --architecture. 
                a: hdb1_dec port map
                a: hdb1_dec port map
                ( clr_bar => clrb, clk=> CLK, e0 => E0, e1 => E1,
                ( clr_bar => clrb, clk=> CLK, e0 => E0, e1 => E1,
                  s => S );
                  s => S );
 
 
                input0 <=  "0100010110001011001001101";
                input0 <=  "0100010110001011001001101";
                input1 <=  "0001001000100100100110010";
                input1 <=  "0001001000100100100110010";
 
 
        process begin
        process begin
                clrb <= '1';
                clrb <= '1';
                for i in 0 to 24 loop
                for i in 0 to 24 loop
                        E0 <= input0(i);
                        E0 <= input0(i);
                        E1 <= input1(i);
                        E1 <= input1(i);
                        CLK <= '0';
                        CLK <= '0';
                        wait for 9 ns;
                        wait for 9 ns;
                        CLK <= '1';
                        CLK <= '1';
                        wait for 1 ns;
                        wait for 1 ns;
                end loop;
                end loop;
                wait;
                wait;
        end process;
        end process;
 
 
 
 
end behaviour;
end behaviour;
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.