URL
https://opencores.org/ocsvn/logicprobe/logicprobe/trunk
Only display areas with differences |
Details |
Blame |
View Log
Rev 5 |
Rev 13 |
//
|
//
|
// top.v -- top-level module to test lfsr128 with LogicProbe
|
// top.v -- top-level module to test lfsr128 with LogicProbe
|
//
|
//
|
|
|
`include "lfsr128.v"
|
`include "lfsr128.v"
|
`timescale 1ns/1ns
|
`timescale 1ns/1ns
|
|
|
module top;
|
module top;
|
|
|
reg clk;
|
reg clk;
|
reg reset_in_n;
|
reg reset_in_n;
|
wire [3:0] s;
|
wire [3:0] s;
|
wire rs232_txd;
|
wire rs232_txd;
|
|
|
lfsr128 lfsr(clk, reset_in_n, s, rs232_txd);
|
lfsr128 lfsr(clk, reset_in_n, s, rs232_txd);
|
|
|
initial begin
|
initial begin
|
#0 $dumpfile("dump.vcd");
|
#0 $dumpfile("dump.vcd");
|
$dumpvars(0, top);
|
$dumpvars(0, top);
|
clk = 1;
|
clk = 1;
|
reset_in_n = 0;
|
reset_in_n = 0;
|
#145 reset_in_n = 1;
|
#145 reset_in_n = 1;
|
#20000 $finish;
|
#20000 $finish;
|
end
|
end
|
|
|
always begin
|
always begin
|
#10 clk = ~clk;
|
#10 clk = ~clk;
|
end
|
end
|
|
|
endmodule
|
endmodule
|
|
|
© copyright 1999-2024
OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.