OpenCores
URL https://opencores.org/ocsvn/m1_core/m1_core/trunk

Subversion Repositories m1_core

[/] [m1_core/] [trunk/] [tests/] [verif/] [bltz.c] - Diff between revs 39 and 54

Go to most recent revision | Only display areas with differences | Details | Blame | View Log

Rev 39 Rev 54
int main() {
int main() {
  int a = -7;
  int a = -7;
  int b = -10;   //r2
  int b = -10;   //r2
  int c = a+b;
  int c = a+b;
asm(" BLTZ $3 , ste");
asm(" BLTZ $3 , ste");
//asm("NOP \n NOP \n NOP \n BLTZ $2 , ste");
//asm("NOP \n NOP \n NOP \n BLTZ $2 , ste");
asm("nop \n nop ");
asm("nop \n nop ");
asm("ste:");
asm("ste:");
asm("andi $5 , $2 , 0");
asm("andi $5 , $2 , 0");
  return 0;
  return 0;
}
}
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.