OpenCores
URL https://opencores.org/ocsvn/m1_core/m1_core/trunk

Subversion Repositories m1_core

[/] [m1_core/] [trunk/] [tests/] [verif/] [sb.c] - Diff between revs 39 and 54

Go to most recent revision | Only display areas with differences | Details | Blame | View Log

Rev 39 Rev 54
int main() {
int main() {
  int a = 5;
  int a = 5;
  int b = 7;
  int b = 7;
  int c = a+b;
  int c = a+b;
  asm("SB $3 , 7($30)");
  asm("SB $3 , 7($30)");
  return 0;
  return 0;
}
}
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.