OpenCores
URL https://opencores.org/ocsvn/marca/marca/trunk

Subversion Repositories marca

[/] [marca/] [tags/] [INITIAL/] [vhdl/] [multiplier_ent.vhd] - Diff between revs 3 and 8

Only display areas with differences | Details | Blame | View Log

Rev 3 Rev 8
--  This file is part of the marca processor.
--  This file is part of the marca processor.
--  Copyright (C) 2007 Wolfgang Puffitsch
--  Copyright (C) 2007 Wolfgang Puffitsch
 
 
--  This program is free software; you can redistribute it and/or modify it
--  This program is free software; you can redistribute it and/or modify it
--  under the terms of the GNU Library General Public License as published
--  under the terms of the GNU Library General Public License as published
--  by the Free Software Foundation; either version 2, or (at your option)
--  by the Free Software Foundation; either version 2, or (at your option)
--  any later version.
--  any later version.
 
 
--  This program is distributed in the hope that it will be useful,
--  This program is distributed in the hope that it will be useful,
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
--  Library General Public License for more details.
--  Library General Public License for more details.
 
 
--  You should have received a copy of the GNU Library General Public
--  You should have received a copy of the GNU Library General Public
--  License along with this program; if not, write to the Free Software
--  License along with this program; if not, write to the Free Software
--  Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA  02110-1301, USA
--  Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA  02110-1301, USA
 
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- MARCA multiplier
-- MARCA multiplier
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- entity of a bit-serial multiplier
-- entity of a bit-serial multiplier
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
 
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Wolfgang Puffitsch
-- Wolfgang Puffitsch
-- Computer Architecture Lab, Group 3
-- Computer Architecture Lab, Group 3
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
 
 
library IEEE;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_1164.all;
 
 
use work.marca_pkg.all;
use work.marca_pkg.all;
 
 
entity multiplier is
entity multiplier is
 
 
  generic (
  generic (
    width : integer := REG_WIDTH);
    width : integer := REG_WIDTH);
 
 
  port (
  port (
    clock    : in std_logic;
    clock    : in std_logic;
    reset    : in std_logic;
    reset    : in std_logic;
    trigger  : in std_logic;
    trigger  : in std_logic;
    operand1 : in std_logic_vector(width-1 downto 0);
    operand1 : in std_logic_vector(width-1 downto 0);
    operand2 : in std_logic_vector(width-1 downto 0);
    operand2 : in std_logic_vector(width-1 downto 0);
    busy     : out std_logic;
    busy     : out std_logic;
    product  : out std_logic_vector(width downto 0));
    product  : out std_logic_vector(width downto 0));
 
 
end multiplier;
end multiplier;
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.