OpenCores
URL https://opencores.org/ocsvn/mb-jpeg/mb-jpeg/trunk

Subversion Repositories mb-jpeg

[/] [mb-jpeg/] [tags/] [STEP1_1/] [system.log] - Diff between revs 14 and 66

Only display areas with differences | Details | Blame | View Log

Rev 14 Rev 66
Saved contents of this file to system_log.71 during revup to EDK 8.1.
Saved contents of this file to system_log.71 during revup to EDK 8.1.
Xilinx Platform Studio (XPS)
Xilinx Platform Studio (XPS)
Xilinx EDK 8.1 Build EDK_I.18.7
Xilinx EDK 8.1 Build EDK_I.18.7
Copyright (c) 1995-2006 Xilinx, Inc.  All rights reserved.
Copyright (c) 1995-2006 Xilinx, Inc.  All rights reserved.
At Local date and time: Fri Jun 23 17:59:37 2006
At Local date and time: Fri Jun 23 17:59:37 2006
 xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make clean; exit;" started...
 xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make clean; exit;" started...
rm -f implementation/system.ngc
rm -f implementation/system.ngc
rm -f implementation/system.bmm
rm -f implementation/system.bmm
rm -f implementation/system.bit
rm -f implementation/system.bit
rm -f implementation/system.ncd
rm -f implementation/system.ncd
rm -f implementation/system_bd.bmm
rm -f implementation/system_bd.bmm
rm -rf implementation synthesis xst hdl
rm -rf implementation synthesis xst hdl
rm -rf xst.srp system.srp
rm -rf xst.srp system.srp
rm -rf microblaze_0/lib/
rm -rf microblaze_0/lib/
rm -f decoder/executable.elf
rm -f decoder/executable.elf
rm -rf simulation/behavioral
rm -rf simulation/behavioral
rm -rf virtualplatform
rm -rf virtualplatform
rm -f _impact.cmd
rm -f _impact.cmd
Done!
Done!
Xilinx Platform Studio (XPS)
Xilinx Platform Studio (XPS)
Xilinx EDK 8.1 Build EDK_I.18.7
Xilinx EDK 8.1 Build EDK_I.18.7
Copyright (c) 1995-2006 Xilinx, Inc.  All rights reserved.
Copyright (c) 1995-2006 Xilinx, Inc.  All rights reserved.
At Local date and time: Sat Jun 24 16:54:34 2006
At Local date and time: Sat Jun 24 16:54:34 2006
 xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make program; exit;" started...
 xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make program; exit;" started...
*********************************************
*********************************************
Creating software libraries...
Creating software libraries...
*********************************************
*********************************************
libgen -mhs system.mhs -p xc2vp30ff896-7 -lp D:/XilinxXUP/lib/  system.mss
libgen -mhs system.mhs -p xc2vp30ff896-7 -lp D:/XilinxXUP/lib/  system.mss
libgen
libgen
Xilinx EDK 8.1 Build EDK_I.18.7
Xilinx EDK 8.1 Build EDK_I.18.7
Copyright (c) 1995-2006 Xilinx, Inc.  All rights reserved.
Copyright (c) 1995-2006 Xilinx, Inc.  All rights reserved.
Command Line: libgen -mhs system.mhs -p xc2vp30ff896-7 -lp D:/XilinxXUP/lib/
Command Line: libgen -mhs system.mhs -p xc2vp30ff896-7 -lp D:/XilinxXUP/lib/
system.mss
system.mss
Output Directory (-od)          : D:\mb-jpeg\
Output Directory (-od)          : D:\mb-jpeg\
Part (-p)                       : virtex2p
Part (-p)                       : virtex2p
Software Specification file     : system.mss
Software Specification file     : system.mss
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/microblaze_v4_00_a/data/microblaze_v2_1_0.
C:/EDK/hw/XilinxProcessorIPLib/pcores/microblaze_v4_00_a/data/microblaze_v2_1_0.
tcl ...
tcl ...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_mdm_v2_00_a/data/opb_mdm_v2_1_0.tcl
C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_mdm_v2_00_a/data/opb_mdm_v2_1_0.tcl
...
...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_v10_v1_00_a/data/lmb_v10_v2_1_0.tcl
C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_v10_v1_00_a/data/lmb_v10_v2_1_0.tcl
...
...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_bram_if_cntlr_v1_00_b/data/lmb_bram_if
C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_bram_if_cntlr_v1_00_b/data/lmb_bram_if
_cntlr_v2_1_0.tcl ...
_cntlr_v2_1_0.tcl ...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_sysace_v1_00_c/data/opb_sysace_v2_1_0.
C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_sysace_v1_00_c/data/opb_sysace_v2_1_0.
tcl ...
tcl ...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/dcm_module_v1_00_a/data/dcm_module_v2_1_0.
C:/EDK/hw/XilinxProcessorIPLib/pcores/dcm_module_v1_00_a/data/dcm_module_v2_1_0.
tcl ...
tcl ...
Overriding IP level properties ...
Overriding IP level properties ...
microblaze (microblaze_0) -
microblaze (microblaze_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
mpd line 63 - tool overriding c_family value virtex2 to virtex2p
mpd line 63 - tool overriding c_family value virtex2 to virtex2p
microblaze (microblaze_0) -
microblaze (microblaze_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
mpd line 64 - tool overriding c_instance value microblaze to microblaze_0
mpd line 64 - tool overriding c_instance value microblaze to microblaze_0
microblaze (microblaze_0) -
microblaze (microblaze_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
mpd:93 - tcl overriding C_ADDR_TAG_BITS value 17 to 0
mpd:93 - tcl overriding C_ADDR_TAG_BITS value 17 to 0
microblaze (microblaze_0) -
microblaze (microblaze_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
mpd:100 - tcl overriding C_DCACHE_ADDR_TAG value 17 to 0
mpd:100 - tcl overriding C_DCACHE_ADDR_TAG value 17 to 0
opb_mdm (debug_module) -
opb_mdm (debug_module) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_mdm_v2_00_a\data\opb_mdm_v2_1_0.mpd
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_mdm_v2_00_a\data\opb_mdm_v2_1_0.mpd
line 42 - tool overriding c_family value virtex2 to virtex2p
line 42 - tool overriding c_family value virtex2 to virtex2p
bram_block (lmb_bram) -
bram_block (lmb_bram) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
mpd line 42 - tool overriding c_family value virtex2 to virtex2p
mpd line 42 - tool overriding c_family value virtex2 to virtex2p
opb_gpio (leds_4bit) -
opb_gpio (leds_4bit) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd
line 41 - tool overriding c_family value virtex2 to virtex2p
line 41 - tool overriding c_family value virtex2 to virtex2p
opb_gpio (dipsws_4bit) -
opb_gpio (dipsws_4bit) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd
line 41 - tool overriding c_family value virtex2 to virtex2p
line 41 - tool overriding c_family value virtex2 to virtex2p
opb_gpio (pushbuttons_5bit) -
opb_gpio (pushbuttons_5bit) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd
line 41 - tool overriding c_family value virtex2 to virtex2p
line 41 - tool overriding c_family value virtex2 to virtex2p
dcm_module (dcm_0) -
dcm_module (dcm_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\dcm_module_v1_00_a\data\dcm_module_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\dcm_module_v1_00_a\data\dcm_module_v2_1_0.
mpd line 60 - tool overriding c_family value virtex2 to virtex2p
mpd line 60 - tool overriding c_family value virtex2 to virtex2p
bram_block (data_bram_0) -
bram_block (data_bram_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
mpd line 42 - tool overriding c_family value virtex2 to virtex2p
mpd line 42 - tool overriding c_family value virtex2 to virtex2p
bram_block (data_bram_1) -
bram_block (data_bram_1) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
mpd line 42 - tool overriding c_family value virtex2 to virtex2p
mpd line 42 - tool overriding c_family value virtex2 to virtex2p
Performing IP level DRCs on properties...
Performing IP level DRCs on properties...
Running DRC Tcl procedures for OPTION IPLEVEL_DRC_PROC...
Running DRC Tcl procedures for OPTION IPLEVEL_DRC_PROC...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
2_1_0.tcl ...
2_1_0.tcl ...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
2_1_0.tcl ...
2_1_0.tcl ...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
2_1_0.tcl ...
2_1_0.tcl ...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
2_1_0.tcl ...
2_1_0.tcl ...
Address Map for Processor microblaze_0
Address Map for Processor microblaze_0
  (0x00000000-0x0000ffff) dlmb_cntlr    dlmb
  (0x00000000-0x0000ffff) dlmb_cntlr    dlmb
  (0x00000000-0x0000ffff) ilmb_cntlr    ilmb
  (0x00000000-0x0000ffff) ilmb_cntlr    ilmb
  (0x40000000-0x4000ffff) PushButtons_5Bit      mb_opb
  (0x40000000-0x4000ffff) PushButtons_5Bit      mb_opb
  (0x40020000-0x4002ffff) LEDs_4Bit     mb_opb
  (0x40020000-0x4002ffff) LEDs_4Bit     mb_opb
  (0x40040000-0x4004ffff) DIPSWs_4Bit   mb_opb
  (0x40040000-0x4004ffff) DIPSWs_4Bit   mb_opb
  (0x40600000-0x4060ffff) RS232_Uart_1  mb_opb
  (0x40600000-0x4060ffff) RS232_Uart_1  mb_opb
  (0x41400000-0x4140ffff) debug_module  mb_opb
  (0x41400000-0x4140ffff) debug_module  mb_opb
  (0x41800000-0x4180ffff) SysACE_CompactFlash   mb_opb
  (0x41800000-0x4180ffff) SysACE_CompactFlash   mb_opb
  (0x70000000-0x7000ffff) data_bram_if_cntlr_0  dlmb
  (0x70000000-0x7000ffff) data_bram_if_cntlr_0  dlmb
  (0x70010000-0x7001ffff) data_bram_if_cntlr_1  dlmb
  (0x70010000-0x7001ffff) data_bram_if_cntlr_1  dlmb
Check platform configuration ...
Check platform configuration ...
opb_v20 (mb_opb) - D:\mb-jpeg\system.mhs line 55 - 2 master(s) : 6 slave(s)
opb_v20 (mb_opb) - D:\mb-jpeg\system.mhs line 55 - 2 master(s) : 6 slave(s)
lmb_v10 (ilmb) - D:\mb-jpeg\system.mhs line 81 - 1 master(s) : 1 slave(s)
lmb_v10 (ilmb) - D:\mb-jpeg\system.mhs line 81 - 1 master(s) : 1 slave(s)
lmb_v10 (dlmb) - D:\mb-jpeg\system.mhs line 89 - 1 master(s) : 3 slave(s)
lmb_v10 (dlmb) - D:\mb-jpeg\system.mhs line 89 - 1 master(s) : 3 slave(s)
Check port drivers...
Check port drivers...
WARNING:MDT - dcm_0_lock (LOCKED) - D:\mb-jpeg\system.mhs line 208 - floating
WARNING:MDT - dcm_0_lock (LOCKED) - D:\mb-jpeg\system.mhs line 208 - floating
   connection!
   connection!
Check platform address map ...
Check platform address map ...
Overriding system level properties ...
Overriding system level properties ...
opb_v20 (mb_opb) -
opb_v20 (mb_opb) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_v20_v1_10_c\data\opb_v20_v2_1_0.mpd
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_v20_v1_10_c\data\opb_v20_v2_1_0.mpd
line 39 - tool overriding c_num_masters value 4 to 2
line 39 - tool overriding c_num_masters value 4 to 2
opb_v20 (mb_opb) -
opb_v20 (mb_opb) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_v20_v1_10_c\data\opb_v20_v2_1_0.mpd
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_v20_v1_10_c\data\opb_v20_v2_1_0.mpd
line 40 - tool overriding c_num_slaves value 4 to 6
line 40 - tool overriding c_num_slaves value 4 to 6
lmb_v10 (ilmb) -
lmb_v10 (ilmb) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_v10_v1_00_a\data\lmb_v10_v2_1_0.mpd
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_v10_v1_00_a\data\lmb_v10_v2_1_0.mpd
line 39 - tool overriding c_lmb_num_slaves value 4 to 1
line 39 - tool overriding c_lmb_num_slaves value 4 to 1
lmb_v10 (dlmb) -
lmb_v10 (dlmb) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_v10_v1_00_a\data\lmb_v10_v2_1_0.mpd
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_v10_v1_00_a\data\lmb_v10_v2_1_0.mpd
line 39 - tool overriding c_lmb_num_slaves value 4 to 3
line 39 - tool overriding c_lmb_num_slaves value 4 to 3
lmb_bram_if_cntlr (dlmb_cntlr) -
lmb_bram_if_cntlr (dlmb_cntlr) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_bram_if_cntlr_v1_00_b\data\lmb_bram_if
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_bram_if_cntlr_v1_00_b\data\lmb_bram_if
_cntlr_v2_1_0.mpd line 45 - tool overriding c_mask value 0x00800000 to
_cntlr_v2_1_0.mpd line 45 - tool overriding c_mask value 0x00800000 to
0x70c70000
0x70c70000
lmb_bram_if_cntlr (ilmb_cntlr) -
lmb_bram_if_cntlr (ilmb_cntlr) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_bram_if_cntlr_v1_00_b\data\lmb_bram_if
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_bram_if_cntlr_v1_00_b\data\lmb_bram_if
_cntlr_v2_1_0.mpd line 45 - tool overriding c_mask value 0x00800000 to
_cntlr_v2_1_0.mpd line 45 - tool overriding c_mask value 0x00800000 to
0x70c70000
0x70c70000
bram_block (lmb_bram) -
bram_block (lmb_bram) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
mpd line 38 - tool overriding c_memsize value 2048 to 0x10000
mpd line 38 - tool overriding c_memsize value 2048 to 0x10000
bram_block (data_bram_0) - D:\mb-jpeg\system.mhs line 214 - tool overriding
bram_block (data_bram_0) - D:\mb-jpeg\system.mhs line 214 - tool overriding
c_memsize value 16384 to 0x10000
c_memsize value 16384 to 0x10000
lmb_bram_if_cntlr (data_bram_if_cntlr_0) -
lmb_bram_if_cntlr (data_bram_if_cntlr_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_bram_if_cntlr_v1_00_b\data\lmb_bram_if
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_bram_if_cntlr_v1_00_b\data\lmb_bram_if
_cntlr_v2_1_0.mpd line 45 - tool overriding c_mask value 0x00800000 to
_cntlr_v2_1_0.mpd line 45 - tool overriding c_mask value 0x00800000 to
0x70c70000
0x70c70000
bram_block (data_bram_1) - D:\mb-jpeg\system.mhs line 230 - tool overriding
bram_block (data_bram_1) - D:\mb-jpeg\system.mhs line 230 - tool overriding
c_memsize value 16384 to 0x10000
c_memsize value 16384 to 0x10000
lmb_bram_if_cntlr (data_bram_if_cntlr_1) -
lmb_bram_if_cntlr (data_bram_if_cntlr_1) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_bram_if_cntlr_v1_00_b\data\lmb_bram_if
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_bram_if_cntlr_v1_00_b\data\lmb_bram_if
_cntlr_v2_1_0.mpd line 45 - tool overriding c_mask value 0x00800000 to
_cntlr_v2_1_0.mpd line 45 - tool overriding c_mask value 0x00800000 to
0x70c70000
0x70c70000
Running DRC Tcl procedures for OPTION SYSLEVEL_DRC_PROC...
Running DRC Tcl procedures for OPTION SYSLEVEL_DRC_PROC...
Performing System level DRCs on properties...
Performing System level DRCs on properties...
INFO:MDT - List of peripherals addressable from processor instance microblaze_0
INFO:MDT - List of peripherals addressable from processor instance microblaze_0
   :
   :
  - dlmb
  - dlmb
WARNING:MDT - D:\mb-jpeg\system.mhs line 89 - No Driver Found for instance dlmb.
WARNING:MDT - D:\mb-jpeg\system.mhs line 89 - No Driver Found for instance dlmb.
   To avoid seeing this warning, assign the appropriate driver or driver
   To avoid seeing this warning, assign the appropriate driver or driver
   "generic 1.00.a " to instance dlmb
   "generic 1.00.a " to instance dlmb
  - dlmb_cntlr
  - dlmb_cntlr
  - data_bram_if_cntlr_0
  - data_bram_if_cntlr_0
  - data_bram_if_cntlr_1
  - data_bram_if_cntlr_1
  - ilmb
  - ilmb
WARNING:MDT - D:\mb-jpeg\system.mhs line 81 - No Driver Found for instance ilmb.
WARNING:MDT - D:\mb-jpeg\system.mhs line 81 - No Driver Found for instance ilmb.
   To avoid seeing this warning, assign the appropriate driver or driver
   To avoid seeing this warning, assign the appropriate driver or driver
   "generic 1.00.a " to instance ilmb
   "generic 1.00.a " to instance ilmb
  - ilmb_cntlr
  - ilmb_cntlr
  - mb_opb
  - mb_opb
  - debug_module
  - debug_module
  - RS232_Uart_1
  - RS232_Uart_1
  - SysACE_CompactFlash
  - SysACE_CompactFlash
  - LEDs_4Bit
  - LEDs_4Bit
  - DIPSWs_4Bit
  - DIPSWs_4Bit
  - PushButtons_5Bit
  - PushButtons_5Bit
Building Directory Structure for microblaze_0
Building Directory Structure for microblaze_0
Generating platform libraries and device drivers ...
Generating platform libraries and device drivers ...
Running CopyFiles ...
Running CopyFiles ...
Copying files for os standalone_v1_00_a from
Copying files for os standalone_v1_00_a from
C:\EDK\sw\lib\bsp\standalone_v1_00_a\src\ to
C:\EDK\sw\lib\bsp\standalone_v1_00_a\src\ to
D:\mb-jpeg\microblaze_0\libsrc\standalone_v1_00_a\ ...
D:\mb-jpeg\microblaze_0\libsrc\standalone_v1_00_a\ ...
Copying files for driver opbarb_v1_02_a from
Copying files for driver opbarb_v1_02_a from
C:\EDK\sw\XilinxProcessorIPLib\drivers\opbarb_v1_02_a\src\ to
C:\EDK\sw\XilinxProcessorIPLib\drivers\opbarb_v1_02_a\src\ to
D:\mb-jpeg\microblaze_0\libsrc\opbarb_v1_02_a\ ...
D:\mb-jpeg\microblaze_0\libsrc\opbarb_v1_02_a\ ...
Copying files for driver uartlite_v1_00_b from
Copying files for driver uartlite_v1_00_b from
C:\EDK\sw\XilinxProcessorIPLib\drivers\uartlite_v1_00_b\src\ to
C:\EDK\sw\XilinxProcessorIPLib\drivers\uartlite_v1_00_b\src\ to
D:\mb-jpeg\microblaze_0\libsrc\uartlite_v1_00_b\ ...
D:\mb-jpeg\microblaze_0\libsrc\uartlite_v1_00_b\ ...
Copying files for driver sysace_v1_00_a from
Copying files for driver sysace_v1_00_a from
C:\EDK\sw\XilinxProcessorIPLib\drivers\sysace_v1_00_a\src\ to
C:\EDK\sw\XilinxProcessorIPLib\drivers\sysace_v1_00_a\src\ to
D:\mb-jpeg\microblaze_0\libsrc\sysace_v1_00_a\ ...
D:\mb-jpeg\microblaze_0\libsrc\sysace_v1_00_a\ ...
Copying files for driver gpio_v2_00_a from
Copying files for driver gpio_v2_00_a from
C:\EDK\sw\XilinxProcessorIPLib\drivers\gpio_v2_00_a\src\ to
C:\EDK\sw\XilinxProcessorIPLib\drivers\gpio_v2_00_a\src\ to
D:\mb-jpeg\microblaze_0\libsrc\gpio_v2_00_a\ ...
D:\mb-jpeg\microblaze_0\libsrc\gpio_v2_00_a\ ...
Copying files for driver cpu_v1_00_a from
Copying files for driver cpu_v1_00_a from
C:\EDK\sw\XilinxProcessorIPLib\drivers\cpu_v1_00_a\src\ to
C:\EDK\sw\XilinxProcessorIPLib\drivers\cpu_v1_00_a\src\ to
D:\mb-jpeg\microblaze_0\libsrc\cpu_v1_00_a\ ...
D:\mb-jpeg\microblaze_0\libsrc\cpu_v1_00_a\ ...
Copying files for library xilfatfs_v1_00_a from
Copying files for library xilfatfs_v1_00_a from
C:\EDK\sw\lib\sw_services\xilfatfs_v1_00_a\src\ to
C:\EDK\sw\lib\sw_services\xilfatfs_v1_00_a\src\ to
D:\mb-jpeg\microblaze_0\libsrc\xilfatfs_v1_00_a\ ...
D:\mb-jpeg\microblaze_0\libsrc\xilfatfs_v1_00_a\ ...
Running DRCs for OSes, Drivers and Libraries ...
Running DRCs for OSes, Drivers and Libraries ...
Running generate for OS'es, Drivers and Libraries ...
Running generate for OS'es, Drivers and Libraries ...
Copying Library Files ...
Copying Library Files ...
Running post_generate for OS'es, Drivers and Libraries ...
Running post_generate for OS'es, Drivers and Libraries ...
Running make for Drivers and Libraries ...
Running make for Drivers and Libraries ...
Configuring make for target include using:
Configuring make for target include using:
make -s include "COMPILER=mb-gcc" "ARCHIVER=mb-ar"
make -s include "COMPILER=mb-gcc" "ARCHIVER=mb-ar"
"COMPILER_FLAGS=-mno-xl-soft-mul  -O2 -c" "EXTRA_COMPILER_FLAGS=-g"
"COMPILER_FLAGS=-mno-xl-soft-mul  -O2 -c" "EXTRA_COMPILER_FLAGS=-g"
Configuring make for target libs using:
Configuring make for target libs using:
make -s libs "COMPILER=mb-gcc" "ARCHIVER=mb-ar"
make -s libs "COMPILER=mb-gcc" "ARCHIVER=mb-ar"
"COMPILER_FLAGS=-mno-xl-soft-mul  -O2 -c" "EXTRA_COMPILER_FLAGS=-g"
"COMPILER_FLAGS=-mno-xl-soft-mul  -O2 -c" "EXTRA_COMPILER_FLAGS=-g"
Compiling common
Compiling common
Compiling ipif
Compiling ipif
Compiling  microblaze_disable_dcache.s
Compiling  microblaze_disable_dcache.s
Compiling  microblaze_disable_exceptions.s
Compiling  microblaze_disable_exceptions.s
Compiling  microblaze_disable_icache.s
Compiling  microblaze_disable_icache.s
Compiling  microblaze_disable_interrupts.s
Compiling  microblaze_disable_interrupts.s
Compiling  microblaze_enable_dcache.s
Compiling  microblaze_enable_dcache.s
Compiling  microblaze_enable_exceptions.s
Compiling  microblaze_enable_exceptions.s
Compiling  microblaze_enable_icache.s
Compiling  microblaze_enable_icache.s
Compiling  microblaze_enable_interrupts.s
Compiling  microblaze_enable_interrupts.s
Compiling  microblaze_init_dcache_range.s
Compiling  microblaze_init_dcache_range.s
Compiling  microblaze_init_icache_range.s
Compiling  microblaze_init_icache_range.s
Compiling  microblaze_update_dcache.s
Compiling  microblaze_update_dcache.s
Compiling  microblaze_update_icache.s
Compiling  microblaze_update_icache.s
Compiling  _exit.c
Compiling  _exit.c
Compiling  errno.c
Compiling  errno.c
Compiling  fcntl.c
Compiling  fcntl.c
Compiling  inbyte.c
Compiling  inbyte.c
Compiling  microblaze_exception_handler.c
Compiling  microblaze_exception_handler.c
Compiling  microblaze_exceptions_g.c
Compiling  microblaze_exceptions_g.c
Compiling  microblaze_interrupt_handler.c
Compiling  microblaze_interrupt_handler.c
Compiling  microblaze_interrupts_g.c
Compiling  microblaze_interrupts_g.c
Compiling  outbyte.c
Compiling  outbyte.c
Compiling  hw_exception_handler.S
Compiling  hw_exception_handler.S
Compiling  src/xilfatfs_alloc.c
Compiling  src/xilfatfs_alloc.c
Compiling  src/xilfatfs_close.c
Compiling  src/xilfatfs_close.c
Compiling  src/xilfatfs_directory.c
Compiling  src/xilfatfs_directory.c
Compiling  src/xilfatfs_fat.c
Compiling  src/xilfatfs_fat.c
Compiling  src/xilfatfs_fat16.c
Compiling  src/xilfatfs_fat16.c
Compiling  src/xilfatfs_fat32.c
Compiling  src/xilfatfs_fat32.c
Compiling  src/xilfatfs_filespec.c
Compiling  src/xilfatfs_filespec.c
Compiling  src/xilfatfs_filestatus.c
Compiling  src/xilfatfs_filestatus.c
Compiling  src/xilfatfs_open.c
Compiling  src/xilfatfs_open.c
Compiling  src/xilfatfs_part.c
Compiling  src/xilfatfs_part.c
Compiling  src/xilfatfs_read.c
Compiling  src/xilfatfs_read.c
Compiling  src/xilfatfs_wd.c
Compiling  src/xilfatfs_wd.c
Compiling  src/xilfatfs_stats.c
Compiling  src/xilfatfs_stats.c
Compiling  src/xilfatfs_bufcache.c
Compiling  src/xilfatfs_bufcache.c
Compiling  src/xilfatfs_sysace.c
Compiling  src/xilfatfs_sysace.c
make clean
make clean
Compiling opbarb
Compiling opbarb
Compiling uartlite
Compiling uartlite
Compiling sysace
Compiling sysace
Compiling gpio
Compiling gpio
Compiling cpu
Compiling cpu
Libraries generated in D:\mb-jpeg\microblaze_0\lib\ directory
Libraries generated in D:\mb-jpeg\microblaze_0\lib\ directory
Running execs_generate for OS'es, Drivers and Libraries ...
Running execs_generate for OS'es, Drivers and Libraries ...
LibGen Done.
LibGen Done.
mb-gcc -O2 decoder/JpegToBmp.c decoder/decoder.c  -o decoder/executable.elf \
mb-gcc -O2 decoder/JpegToBmp.c decoder/decoder.c  -o decoder/executable.elf \
 -Wl,-defsym -Wl,_TEXT_START_ADDR=0x50   -mno-xl-soft-mul      -g    -I./microblaze_0/include/  -L./microblaze_0/lib/  \
 -Wl,-defsym -Wl,_TEXT_START_ADDR=0x50   -mno-xl-soft-mul      -g    -I./microblaze_0/include/  -L./microblaze_0/lib/  \
decoder/JpegToBmp.c: In function `decode':
decoder/JpegToBmp.c: In function `decode':
decoder/JpegToBmp.c:56: error: `file1' undeclared (first use in this function)
decoder/JpegToBmp.c:56: error: `file1' undeclared (first use in this function)
decoder/JpegToBmp.c:56: error: (Each undeclared identifier is reported only once
decoder/JpegToBmp.c:56: error: (Each undeclared identifier is reported only once
decoder/JpegToBmp.c:56: error: for each function it appears in.)
decoder/JpegToBmp.c:56: error: for each function it appears in.)
decoder/JpegToBmp.c:243: error: `file2' undeclared (first use in this function)
decoder/JpegToBmp.c:243: error: `file2' undeclared (first use in this function)
decoder/JpegToBmp.c:255: error: 'for' loop initial declaration used outside C99 mode
decoder/JpegToBmp.c:255: error: 'for' loop initial declaration used outside C99 mode
decoder/JpegToBmp.c:256: error: 'for' loop initial declaration used outside C99 mode
decoder/JpegToBmp.c:256: error: 'for' loop initial declaration used outside C99 mode
decoder/JpegToBmp.c:261: error: redefinition of 'j'
decoder/JpegToBmp.c:261: error: redefinition of 'j'
decoder/JpegToBmp.c:256: error: previous definition of 'j' was here
decoder/JpegToBmp.c:256: error: previous definition of 'j' was here
decoder/JpegToBmp.c:261: error: 'for' loop initial declaration used outside C99 mode
decoder/JpegToBmp.c:261: error: 'for' loop initial declaration used outside C99 mode
decoder/decoder.c:2:21: mb-jpeg.h: No such file or directory
decoder/decoder.c:2:21: mb-jpeg.h: No such file or directory
decoder/decoder.c: In function `main':
decoder/decoder.c: In function `main':
decoder/decoder.c:8: error: `SYSACE_FILE' undeclared (first use in this function)
decoder/decoder.c:8: error: `SYSACE_FILE' undeclared (first use in this function)
decoder/decoder.c:8: error: (Each undeclared identifier is reported only once
decoder/decoder.c:8: error: (Each undeclared identifier is reported only once
decoder/decoder.c:8: error: for each function it appears in.)
decoder/decoder.c:8: error: for each function it appears in.)
decoder/decoder.c:8: error: `jpgfile' undeclared (first use in this function)
decoder/decoder.c:8: error: `jpgfile' undeclared (first use in this function)
decoder/decoder.c:13: error: `JPG_MAXSIZE' undeclared (first use in this function)
decoder/decoder.c:13: error: `JPG_MAXSIZE' undeclared (first use in this function)
make: *** [decoder/executable.elf] Error 1
make: *** [decoder/executable.elf] Error 1
Done!
Done!
At Local date and time: Sat Jun 24 16:56:11 2006
At Local date and time: Sat Jun 24 16:56:11 2006
 xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make program; exit;" started...
 xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make program; exit;" started...
mb-gcc -O2 decoder/JpegToBmp.c decoder/decoder.c  -o decoder/executable.elf \
mb-gcc -O2 decoder/JpegToBmp.c decoder/decoder.c  -o decoder/executable.elf \
 -Wl,-defsym -Wl,_TEXT_START_ADDR=0x50   -mno-xl-soft-mul      -g    -I./microblaze_0/include/  -L./microblaze_0/lib/  \
 -Wl,-defsym -Wl,_TEXT_START_ADDR=0x50   -mno-xl-soft-mul      -g    -I./microblaze_0/include/  -L./microblaze_0/lib/  \
decoder/JpegToBmp.c: In function `decode':
decoder/JpegToBmp.c: In function `decode':
decoder/JpegToBmp.c:56: error: `file1' undeclared (first use in this function)
decoder/JpegToBmp.c:56: error: `file1' undeclared (first use in this function)
decoder/JpegToBmp.c:56: error: (Each undeclared identifier is reported only once
decoder/JpegToBmp.c:56: error: (Each undeclared identifier is reported only once
decoder/JpegToBmp.c:56: error: for each function it appears in.)
decoder/JpegToBmp.c:56: error: for each function it appears in.)
decoder/JpegToBmp.c:243: error: `file2' undeclared (first use in this function)
decoder/JpegToBmp.c:243: error: `file2' undeclared (first use in this function)
decoder/JpegToBmp.c:255: error: 'for' loop initial declaration used outside C99 mode
decoder/JpegToBmp.c:255: error: 'for' loop initial declaration used outside C99 mode
decoder/JpegToBmp.c:256: error: 'for' loop initial declaration used outside C99 mode
decoder/JpegToBmp.c:256: error: 'for' loop initial declaration used outside C99 mode
decoder/JpegToBmp.c:261: error: redefinition of 'j'
decoder/JpegToBmp.c:261: error: redefinition of 'j'
decoder/JpegToBmp.c:256: error: previous definition of 'j' was here
decoder/JpegToBmp.c:256: error: previous definition of 'j' was here
decoder/JpegToBmp.c:261: error: 'for' loop initial declaration used outside C99 mode
decoder/JpegToBmp.c:261: error: 'for' loop initial declaration used outside C99 mode
make: *** [decoder/executable.elf] Error 1
make: *** [decoder/executable.elf] Error 1
Done!
Done!
At Local date and time: Sat Jun 24 16:56:34 2006
At Local date and time: Sat Jun 24 16:56:34 2006
 xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make program; exit;" started...
 xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make program; exit;" started...
mb-gcc -O2 decoder/JpegToBmp.c decoder/decoder.c  -o decoder/executable.elf \
mb-gcc -O2 decoder/JpegToBmp.c decoder/decoder.c  -o decoder/executable.elf \
 -Wl,-defsym -Wl,_TEXT_START_ADDR=0x50   -mno-xl-soft-mul      -g    -I./microblaze_0/include/  -L./microblaze_0/lib/  \
 -Wl,-defsym -Wl,_TEXT_START_ADDR=0x50   -mno-xl-soft-mul      -g    -I./microblaze_0/include/  -L./microblaze_0/lib/  \
decoder/JpegToBmp.c: In function `decode':
decoder/JpegToBmp.c: In function `decode':
decoder/JpegToBmp.c:56: error: `file1' undeclared (first use in this function)
decoder/JpegToBmp.c:56: error: `file1' undeclared (first use in this function)
decoder/JpegToBmp.c:56: error: (Each undeclared identifier is reported only once
decoder/JpegToBmp.c:56: error: (Each undeclared identifier is reported only once
decoder/JpegToBmp.c:56: error: for each function it appears in.)
decoder/JpegToBmp.c:56: error: for each function it appears in.)
decoder/JpegToBmp.c:243: error: `file2' undeclared (first use in this function)
decoder/JpegToBmp.c:243: error: `file2' undeclared (first use in this function)
decoder/JpegToBmp.c:255: error: 'for' loop initial declaration used outside C99 mode
decoder/JpegToBmp.c:255: error: 'for' loop initial declaration used outside C99 mode
decoder/JpegToBmp.c:256: error: 'for' loop initial declaration used outside C99 mode
decoder/JpegToBmp.c:256: error: 'for' loop initial declaration used outside C99 mode
decoder/JpegToBmp.c:261: error: redefinition of 'j'
decoder/JpegToBmp.c:261: error: redefinition of 'j'
decoder/JpegToBmp.c:256: error: previous definition of 'j' was here
decoder/JpegToBmp.c:256: error: previous definition of 'j' was here
decoder/JpegToBmp.c:261: error: 'for' loop initial declaration used outside C99 mode
decoder/JpegToBmp.c:261: error: 'for' loop initial declaration used outside C99 mode
make: *** [decoder/executable.elf] Error 1
make: *** [decoder/executable.elf] Error 1
Done!
Done!
At Local date and time: Sat Jun 24 17:03:24 2006
At Local date and time: Sat Jun 24 17:03:24 2006
 xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make program; exit;" started...
 xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make program; exit;" started...
mb-gcc -O2 decoder/JpegToBmp.c decoder/decoder.c  -o decoder/executable.elf \
mb-gcc -O2 decoder/JpegToBmp.c decoder/decoder.c  -o decoder/executable.elf \
 -Wl,-defsym -Wl,_TEXT_START_ADDR=0x50   -mno-xl-soft-mul      -g    -I./microblaze_0/include/  -L./microblaze_0/lib/  \
 -Wl,-defsym -Wl,_TEXT_START_ADDR=0x50   -mno-xl-soft-mul      -g    -I./microblaze_0/include/  -L./microblaze_0/lib/  \
decoder/JpegToBmp.c: In function `decode':
decoder/JpegToBmp.c: In function `decode':
decoder/JpegToBmp.c:56: error: `file1' undeclared (first use in this function)
decoder/JpegToBmp.c:56: error: `file1' undeclared (first use in this function)
decoder/JpegToBmp.c:56: error: (Each undeclared identifier is reported only once
decoder/JpegToBmp.c:56: error: (Each undeclared identifier is reported only once
decoder/JpegToBmp.c:56: error: for each function it appears in.)
decoder/JpegToBmp.c:56: error: for each function it appears in.)
decoder/JpegToBmp.c:243: error: `file2' undeclared (first use in this function)
decoder/JpegToBmp.c:243: error: `file2' undeclared (first use in this function)
decoder/JpegToBmp.c:255: error: 'for' loop initial declaration used outside C99 mode
decoder/JpegToBmp.c:255: error: 'for' loop initial declaration used outside C99 mode
decoder/JpegToBmp.c:256: error: 'for' loop initial declaration used outside C99 mode
decoder/JpegToBmp.c:256: error: 'for' loop initial declaration used outside C99 mode
decoder/JpegToBmp.c:261: error: redefinition of 'j'
decoder/JpegToBmp.c:261: error: redefinition of 'j'
decoder/JpegToBmp.c:256: error: previous definition of 'j' was here
decoder/JpegToBmp.c:256: error: previous definition of 'j' was here
decoder/JpegToBmp.c:261: error: 'for' loop initial declaration used outside C99 mode
decoder/JpegToBmp.c:261: error: 'for' loop initial declaration used outside C99 mode
make: *** [decoder/executable.elf] Error 1
make: *** [decoder/executable.elf] Error 1
Done!
Done!
At Local date and time: Sat Jun 24 17:03:43 2006
At Local date and time: Sat Jun 24 17:03:43 2006
 xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make program; exit;" started...
 xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make program; exit;" started...
mb-gcc -O2 decoder/decoder.c  -o decoder/executable.elf \
mb-gcc -O2 decoder/decoder.c  -o decoder/executable.elf \
 -Wl,-defsym -Wl,_TEXT_START_ADDR=0x50   -mno-xl-soft-mul      -g    -I./microblaze_0/include/  -L./microblaze_0/lib/  \
 -Wl,-defsym -Wl,_TEXT_START_ADDR=0x50   -mno-xl-soft-mul      -g    -I./microblaze_0/include/  -L./microblaze_0/lib/  \
/cygdrive/c/DOCUME~1/S041945/LOCALS~1/Temp/cc0qdFfE.o: In function `main':
/cygdrive/c/DOCUME~1/S041945/LOCALS~1/Temp/cc0qdFfE.o: In function `main':
/cygdrive/d/mb-jpeg/decoder/decoder.c:23: undefined reference to `decode'
/cygdrive/d/mb-jpeg/decoder/decoder.c:23: undefined reference to `decode'
/cygdrive/d/mb-jpeg/decoder/decoder.c:37: undefined reference to `sysace_fwrite'
/cygdrive/d/mb-jpeg/decoder/decoder.c:37: undefined reference to `sysace_fwrite'
collect2: ld returned 1 exit status
collect2: ld returned 1 exit status
make: *** [decoder/executable.elf] Error 1
make: *** [decoder/executable.elf] Error 1
Done!
Done!
ERROR:MDT - D:\mb-jpeg\system.mss line 101      PARAMETER name is not specified
ERROR:MDT - D:\mb-jpeg\system.mss line 101      PARAMETER name is not specified
At Local date and time: Sat Jun 24 17:05:37 2006
At Local date and time: Sat Jun 24 17:05:37 2006
 xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make libs; exit;" started...
 xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make libs; exit;" started...
*********************************************
*********************************************
Creating software libraries...
Creating software libraries...
*********************************************
*********************************************
libgen -mhs system.mhs -p xc2vp30ff896-7 -lp D:/XilinxXUP/lib/  system.mss
libgen -mhs system.mhs -p xc2vp30ff896-7 -lp D:/XilinxXUP/lib/  system.mss
libgen
libgen
Xilinx EDK 8.1 Build EDK_I.18.7
Xilinx EDK 8.1 Build EDK_I.18.7
Copyright (c) 1995-2006 Xilinx, Inc.  All rights reserved.
Copyright (c) 1995-2006 Xilinx, Inc.  All rights reserved.
Command Line: libgen -mhs system.mhs -p xc2vp30ff896-7 -lp D:/XilinxXUP/lib/
Command Line: libgen -mhs system.mhs -p xc2vp30ff896-7 -lp D:/XilinxXUP/lib/
system.mss
system.mss
Output Directory (-od)          : D:\mb-jpeg\
Output Directory (-od)          : D:\mb-jpeg\
Part (-p)                       : virtex2p
Part (-p)                       : virtex2p
Software Specification file     : system.mss
Software Specification file     : system.mss
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/microblaze_v4_00_a/data/microblaze_v2_1_0.
C:/EDK/hw/XilinxProcessorIPLib/pcores/microblaze_v4_00_a/data/microblaze_v2_1_0.
tcl ...
tcl ...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_mdm_v2_00_a/data/opb_mdm_v2_1_0.tcl
C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_mdm_v2_00_a/data/opb_mdm_v2_1_0.tcl
...
...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_v10_v1_00_a/data/lmb_v10_v2_1_0.tcl
C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_v10_v1_00_a/data/lmb_v10_v2_1_0.tcl
...
...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_bram_if_cntlr_v1_00_b/data/lmb_bram_if
C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_bram_if_cntlr_v1_00_b/data/lmb_bram_if
_cntlr_v2_1_0.tcl ...
_cntlr_v2_1_0.tcl ...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_sysace_v1_00_c/data/opb_sysace_v2_1_0.
C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_sysace_v1_00_c/data/opb_sysace_v2_1_0.
tcl ...
tcl ...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/dcm_module_v1_00_a/data/dcm_module_v2_1_0.
C:/EDK/hw/XilinxProcessorIPLib/pcores/dcm_module_v1_00_a/data/dcm_module_v2_1_0.
tcl ...
tcl ...
Overriding IP level properties ...
Overriding IP level properties ...
microblaze (microblaze_0) -
microblaze (microblaze_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
mpd line 63 - tool overriding c_family value virtex2 to virtex2p
mpd line 63 - tool overriding c_family value virtex2 to virtex2p
microblaze (microblaze_0) -
microblaze (microblaze_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
mpd line 64 - tool overriding c_instance value microblaze to microblaze_0
mpd line 64 - tool overriding c_instance value microblaze to microblaze_0
microblaze (microblaze_0) -
microblaze (microblaze_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
mpd:93 - tcl overriding C_ADDR_TAG_BITS value 17 to 0
mpd:93 - tcl overriding C_ADDR_TAG_BITS value 17 to 0
microblaze (microblaze_0) -
microblaze (microblaze_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
mpd:100 - tcl overriding C_DCACHE_ADDR_TAG value 17 to 0
mpd:100 - tcl overriding C_DCACHE_ADDR_TAG value 17 to 0
opb_mdm (debug_module) -
opb_mdm (debug_module) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_mdm_v2_00_a\data\opb_mdm_v2_1_0.mpd
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_mdm_v2_00_a\data\opb_mdm_v2_1_0.mpd
line 42 - tool overriding c_family value virtex2 to virtex2p
line 42 - tool overriding c_family value virtex2 to virtex2p
bram_block (lmb_bram) -
bram_block (lmb_bram) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
mpd line 42 - tool overriding c_family value virtex2 to virtex2p
mpd line 42 - tool overriding c_family value virtex2 to virtex2p
opb_gpio (leds_4bit) -
opb_gpio (leds_4bit) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd
line 41 - tool overriding c_family value virtex2 to virtex2p
line 41 - tool overriding c_family value virtex2 to virtex2p
opb_gpio (dipsws_4bit) -
opb_gpio (dipsws_4bit) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd
line 41 - tool overriding c_family value virtex2 to virtex2p
line 41 - tool overriding c_family value virtex2 to virtex2p
opb_gpio (pushbuttons_5bit) -
opb_gpio (pushbuttons_5bit) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd
line 41 - tool overriding c_family value virtex2 to virtex2p
line 41 - tool overriding c_family value virtex2 to virtex2p
dcm_module (dcm_0) -
dcm_module (dcm_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\dcm_module_v1_00_a\data\dcm_module_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\dcm_module_v1_00_a\data\dcm_module_v2_1_0.
mpd line 60 - tool overriding c_family value virtex2 to virtex2p
mpd line 60 - tool overriding c_family value virtex2 to virtex2p
bram_block (data_bram_0) -
bram_block (data_bram_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
mpd line 42 - tool overriding c_family value virtex2 to virtex2p
mpd line 42 - tool overriding c_family value virtex2 to virtex2p
bram_block (data_bram_1) -
bram_block (data_bram_1) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
mpd line 42 - tool overriding c_family value virtex2 to virtex2p
mpd line 42 - tool overriding c_family value virtex2 to virtex2p
Performing IP level DRCs on properties...
Performing IP level DRCs on properties...
Running DRC Tcl procedures for OPTION IPLEVEL_DRC_PROC...
Running DRC Tcl procedures for OPTION IPLEVEL_DRC_PROC...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
2_1_0.tcl ...
2_1_0.tcl ...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
2_1_0.tcl ...
2_1_0.tcl ...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
2_1_0.tcl ...
2_1_0.tcl ...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
2_1_0.tcl ...
2_1_0.tcl ...
Address Map for Processor microblaze_0
Address Map for Processor microblaze_0
  (0x00000000-0x0000ffff) dlmb_cntlr    dlmb
  (0x00000000-0x0000ffff) dlmb_cntlr    dlmb
  (0x00000000-0x0000ffff) ilmb_cntlr    ilmb
  (0x00000000-0x0000ffff) ilmb_cntlr    ilmb
  (0x40000000-0x4000ffff) PushButtons_5Bit      mb_opb
  (0x40000000-0x4000ffff) PushButtons_5Bit      mb_opb
  (0x40020000-0x4002ffff) LEDs_4Bit     mb_opb
  (0x40020000-0x4002ffff) LEDs_4Bit     mb_opb
  (0x40040000-0x4004ffff) DIPSWs_4Bit   mb_opb
  (0x40040000-0x4004ffff) DIPSWs_4Bit   mb_opb
  (0x40600000-0x4060ffff) RS232_Uart_1  mb_opb
  (0x40600000-0x4060ffff) RS232_Uart_1  mb_opb
  (0x41400000-0x4140ffff) debug_module  mb_opb
  (0x41400000-0x4140ffff) debug_module  mb_opb
  (0x41800000-0x4180ffff) SysACE_CompactFlash   mb_opb
  (0x41800000-0x4180ffff) SysACE_CompactFlash   mb_opb
  (0x70000000-0x7000ffff) data_bram_if_cntlr_0  dlmb
  (0x70000000-0x7000ffff) data_bram_if_cntlr_0  dlmb
  (0x70010000-0x7001ffff) data_bram_if_cntlr_1  dlmb
  (0x70010000-0x7001ffff) data_bram_if_cntlr_1  dlmb
Check platform configuration ...
Check platform configuration ...
opb_v20 (mb_opb) - D:\mb-jpeg\system.mhs line 55 - 2 master(s) : 6 slave(s)
opb_v20 (mb_opb) - D:\mb-jpeg\system.mhs line 55 - 2 master(s) : 6 slave(s)
lmb_v10 (ilmb) - D:\mb-jpeg\system.mhs line 81 - 1 master(s) : 1 slave(s)
lmb_v10 (ilmb) - D:\mb-jpeg\system.mhs line 81 - 1 master(s) : 1 slave(s)
lmb_v10 (dlmb) - D:\mb-jpeg\system.mhs line 89 - 1 master(s) : 3 slave(s)
lmb_v10 (dlmb) - D:\mb-jpeg\system.mhs line 89 - 1 master(s) : 3 slave(s)
Check port drivers...
Check port drivers...
WARNING:MDT - dcm_0_lock (LOCKED) - D:\mb-jpeg\system.mhs line 208 - floating
WARNING:MDT - dcm_0_lock (LOCKED) - D:\mb-jpeg\system.mhs line 208 - floating
   connection!
   connection!
Check platform address map ...
Check platform address map ...
Overriding system level properties ...
Overriding system level properties ...
opb_v20 (mb_opb) -
opb_v20 (mb_opb) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_v20_v1_10_c\data\opb_v20_v2_1_0.mpd
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_v20_v1_10_c\data\opb_v20_v2_1_0.mpd
line 39 - tool overriding c_num_masters value 4 to 2
line 39 - tool overriding c_num_masters value 4 to 2
opb_v20 (mb_opb) -
opb_v20 (mb_opb) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_v20_v1_10_c\data\opb_v20_v2_1_0.mpd
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_v20_v1_10_c\data\opb_v20_v2_1_0.mpd
line 40 - tool overriding c_num_slaves value 4 to 6
line 40 - tool overriding c_num_slaves value 4 to 6
lmb_v10 (ilmb) -
lmb_v10 (ilmb) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_v10_v1_00_a\data\lmb_v10_v2_1_0.mpd
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_v10_v1_00_a\data\lmb_v10_v2_1_0.mpd
line 39 - tool overriding c_lmb_num_slaves value 4 to 1
line 39 - tool overriding c_lmb_num_slaves value 4 to 1
lmb_v10 (dlmb) -
lmb_v10 (dlmb) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_v10_v1_00_a\data\lmb_v10_v2_1_0.mpd
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_v10_v1_00_a\data\lmb_v10_v2_1_0.mpd
line 39 - tool overriding c_lmb_num_slaves value 4 to 3
line 39 - tool overriding c_lmb_num_slaves value 4 to 3
lmb_bram_if_cntlr (dlmb_cntlr) -
lmb_bram_if_cntlr (dlmb_cntlr) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_bram_if_cntlr_v1_00_b\data\lmb_bram_if
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_bram_if_cntlr_v1_00_b\data\lmb_bram_if
_cntlr_v2_1_0.mpd line 45 - tool overriding c_mask value 0x00800000 to
_cntlr_v2_1_0.mpd line 45 - tool overriding c_mask value 0x00800000 to
0x70c70000
0x70c70000
lmb_bram_if_cntlr (ilmb_cntlr) -
lmb_bram_if_cntlr (ilmb_cntlr) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_bram_if_cntlr_v1_00_b\data\lmb_bram_if
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_bram_if_cntlr_v1_00_b\data\lmb_bram_if
_cntlr_v2_1_0.mpd line 45 - tool overriding c_mask value 0x00800000 to
_cntlr_v2_1_0.mpd line 45 - tool overriding c_mask value 0x00800000 to
0x70c70000
0x70c70000
bram_block (lmb_bram) -
bram_block (lmb_bram) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
mpd line 38 - tool overriding c_memsize value 2048 to 0x10000
mpd line 38 - tool overriding c_memsize value 2048 to 0x10000
bram_block (data_bram_0) - D:\mb-jpeg\system.mhs line 214 - tool overriding
bram_block (data_bram_0) - D:\mb-jpeg\system.mhs line 214 - tool overriding
c_memsize value 16384 to 0x10000
c_memsize value 16384 to 0x10000
lmb_bram_if_cntlr (data_bram_if_cntlr_0) -
lmb_bram_if_cntlr (data_bram_if_cntlr_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_bram_if_cntlr_v1_00_b\data\lmb_bram_if
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_bram_if_cntlr_v1_00_b\data\lmb_bram_if
_cntlr_v2_1_0.mpd line 45 - tool overriding c_mask value 0x00800000 to
_cntlr_v2_1_0.mpd line 45 - tool overriding c_mask value 0x00800000 to
0x70c70000
0x70c70000
bram_block (data_bram_1) - D:\mb-jpeg\system.mhs line 230 - tool overriding
bram_block (data_bram_1) - D:\mb-jpeg\system.mhs line 230 - tool overriding
c_memsize value 16384 to 0x10000
c_memsize value 16384 to 0x10000
lmb_bram_if_cntlr (data_bram_if_cntlr_1) -
lmb_bram_if_cntlr (data_bram_if_cntlr_1) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_bram_if_cntlr_v1_00_b\data\lmb_bram_if
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_bram_if_cntlr_v1_00_b\data\lmb_bram_if
_cntlr_v2_1_0.mpd line 45 - tool overriding c_mask value 0x00800000 to
_cntlr_v2_1_0.mpd line 45 - tool overriding c_mask value 0x00800000 to
0x70c70000
0x70c70000
Running DRC Tcl procedures for OPTION SYSLEVEL_DRC_PROC...
Running DRC Tcl procedures for OPTION SYSLEVEL_DRC_PROC...
Performing System level DRCs on properties...
Performing System level DRCs on properties...
INFO:MDT - List of peripherals addressable from processor instance microblaze_0
INFO:MDT - List of peripherals addressable from processor instance microblaze_0
   :
   :
  - dlmb
  - dlmb
WARNING:MDT - D:\mb-jpeg\system.mhs line 89 - No Driver Found for instance dlmb.
WARNING:MDT - D:\mb-jpeg\system.mhs line 89 - No Driver Found for instance dlmb.
   To avoid seeing this warning, assign the appropriate driver or driver
   To avoid seeing this warning, assign the appropriate driver or driver
   "generic 1.00.a " to instance dlmb
   "generic 1.00.a " to instance dlmb
  - dlmb_cntlr
  - dlmb_cntlr
  - data_bram_if_cntlr_0
  - data_bram_if_cntlr_0
  - data_bram_if_cntlr_1
  - data_bram_if_cntlr_1
  - ilmb
  - ilmb
WARNING:MDT - D:\mb-jpeg\system.mhs line 81 - No Driver Found for instance ilmb.
WARNING:MDT - D:\mb-jpeg\system.mhs line 81 - No Driver Found for instance ilmb.
   To avoid seeing this warning, assign the appropriate driver or driver
   To avoid seeing this warning, assign the appropriate driver or driver
   "generic 1.00.a " to instance ilmb
   "generic 1.00.a " to instance ilmb
  - ilmb_cntlr
  - ilmb_cntlr
  - mb_opb
  - mb_opb
  - debug_module
  - debug_module
  - RS232_Uart_1
  - RS232_Uart_1
  - SysACE_CompactFlash
  - SysACE_CompactFlash
  - LEDs_4Bit
  - LEDs_4Bit
  - DIPSWs_4Bit
  - DIPSWs_4Bit
  - PushButtons_5Bit
  - PushButtons_5Bit
Building Directory Structure for microblaze_0
Building Directory Structure for microblaze_0
Generating platform libraries and device drivers ...
Generating platform libraries and device drivers ...
Running CopyFiles ...
Running CopyFiles ...
Copying files for os standalone_v1_00_a from
Copying files for os standalone_v1_00_a from
C:\EDK\sw\lib\bsp\standalone_v1_00_a\src\ to
C:\EDK\sw\lib\bsp\standalone_v1_00_a\src\ to
D:\mb-jpeg\microblaze_0\libsrc\standalone_v1_00_a\ ...
D:\mb-jpeg\microblaze_0\libsrc\standalone_v1_00_a\ ...
Copying files for driver opbarb_v1_02_a from
Copying files for driver opbarb_v1_02_a from
C:\EDK\sw\XilinxProcessorIPLib\drivers\opbarb_v1_02_a\src\ to
C:\EDK\sw\XilinxProcessorIPLib\drivers\opbarb_v1_02_a\src\ to
D:\mb-jpeg\microblaze_0\libsrc\opbarb_v1_02_a\ ...
D:\mb-jpeg\microblaze_0\libsrc\opbarb_v1_02_a\ ...
Copying files for driver uartlite_v1_00_b from
Copying files for driver uartlite_v1_00_b from
C:\EDK\sw\XilinxProcessorIPLib\drivers\uartlite_v1_00_b\src\ to
C:\EDK\sw\XilinxProcessorIPLib\drivers\uartlite_v1_00_b\src\ to
D:\mb-jpeg\microblaze_0\libsrc\uartlite_v1_00_b\ ...
D:\mb-jpeg\microblaze_0\libsrc\uartlite_v1_00_b\ ...
Copying files for driver sysace_v1_00_a from
Copying files for driver sysace_v1_00_a from
C:\EDK\sw\XilinxProcessorIPLib\drivers\sysace_v1_00_a\src\ to
C:\EDK\sw\XilinxProcessorIPLib\drivers\sysace_v1_00_a\src\ to
D:\mb-jpeg\microblaze_0\libsrc\sysace_v1_00_a\ ...
D:\mb-jpeg\microblaze_0\libsrc\sysace_v1_00_a\ ...
Copying files for driver gpio_v2_00_a from
Copying files for driver gpio_v2_00_a from
C:\EDK\sw\XilinxProcessorIPLib\drivers\gpio_v2_00_a\src\ to
C:\EDK\sw\XilinxProcessorIPLib\drivers\gpio_v2_00_a\src\ to
D:\mb-jpeg\microblaze_0\libsrc\gpio_v2_00_a\ ...
D:\mb-jpeg\microblaze_0\libsrc\gpio_v2_00_a\ ...
Copying files for driver cpu_v1_00_a from
Copying files for driver cpu_v1_00_a from
C:\EDK\sw\XilinxProcessorIPLib\drivers\cpu_v1_00_a\src\ to
C:\EDK\sw\XilinxProcessorIPLib\drivers\cpu_v1_00_a\src\ to
D:\mb-jpeg\microblaze_0\libsrc\cpu_v1_00_a\ ...
D:\mb-jpeg\microblaze_0\libsrc\cpu_v1_00_a\ ...
Copying files for library xilfatfs_v1_00_a from
Copying files for library xilfatfs_v1_00_a from
C:\EDK\sw\lib\sw_services\xilfatfs_v1_00_a\src\ to
C:\EDK\sw\lib\sw_services\xilfatfs_v1_00_a\src\ to
D:\mb-jpeg\microblaze_0\libsrc\xilfatfs_v1_00_a\ ...
D:\mb-jpeg\microblaze_0\libsrc\xilfatfs_v1_00_a\ ...
Running DRCs for OSes, Drivers and Libraries ...
Running DRCs for OSes, Drivers and Libraries ...
Running generate for OS'es, Drivers and Libraries ...
Running generate for OS'es, Drivers and Libraries ...
Copying Library Files ...
Copying Library Files ...
Running post_generate for OS'es, Drivers and Libraries ...
Running post_generate for OS'es, Drivers and Libraries ...
Running make for Drivers and Libraries ...
Running make for Drivers and Libraries ...
Configuring make for target include using:
Configuring make for target include using:
make -s include "COMPILER=mb-gcc" "ARCHIVER=mb-ar"
make -s include "COMPILER=mb-gcc" "ARCHIVER=mb-ar"
"COMPILER_FLAGS=-mno-xl-soft-mul  -O2 -c" "EXTRA_COMPILER_FLAGS=-g"
"COMPILER_FLAGS=-mno-xl-soft-mul  -O2 -c" "EXTRA_COMPILER_FLAGS=-g"
Configuring make for target libs using:
Configuring make for target libs using:
make -s libs "COMPILER=mb-gcc" "ARCHIVER=mb-ar"
make -s libs "COMPILER=mb-gcc" "ARCHIVER=mb-ar"
"COMPILER_FLAGS=-mno-xl-soft-mul  -O2 -c" "EXTRA_COMPILER_FLAGS=-g"
"COMPILER_FLAGS=-mno-xl-soft-mul  -O2 -c" "EXTRA_COMPILER_FLAGS=-g"
Compiling common
Compiling common
Compiling ipif
Compiling ipif
Compiling  microblaze_disable_dcache.s
Compiling  microblaze_disable_dcache.s
Compiling  microblaze_disable_exceptions.s
Compiling  microblaze_disable_exceptions.s
Compiling  microblaze_disable_icache.s
Compiling  microblaze_disable_icache.s
Compiling  microblaze_disable_interrupts.s
Compiling  microblaze_disable_interrupts.s
Compiling  microblaze_enable_dcache.s
Compiling  microblaze_enable_dcache.s
Compiling  microblaze_enable_exceptions.s
Compiling  microblaze_enable_exceptions.s
Compiling  microblaze_enable_icache.s
Compiling  microblaze_enable_icache.s
Compiling  microblaze_enable_interrupts.s
Compiling  microblaze_enable_interrupts.s
Compiling  microblaze_init_dcache_range.s
Compiling  microblaze_init_dcache_range.s
Compiling  microblaze_init_icache_range.s
Compiling  microblaze_init_icache_range.s
Compiling  microblaze_update_dcache.s
Compiling  microblaze_update_dcache.s
Compiling  microblaze_update_icache.s
Compiling  microblaze_update_icache.s
Compiling  _exit.c
Compiling  _exit.c
Compiling  errno.c
Compiling  errno.c
Compiling  fcntl.c
Compiling  fcntl.c
Compiling  inbyte.c
Compiling  inbyte.c
Compiling  microblaze_exception_handler.c
Compiling  microblaze_exception_handler.c
Compiling  microblaze_exceptions_g.c
Compiling  microblaze_exceptions_g.c
Compiling  microblaze_interrupt_handler.c
Compiling  microblaze_interrupt_handler.c
Compiling  microblaze_interrupts_g.c
Compiling  microblaze_interrupts_g.c
Compiling  outbyte.c
Compiling  outbyte.c
Compiling  hw_exception_handler.S
Compiling  hw_exception_handler.S
Compiling  src/xilfatfs_alloc.c
Compiling  src/xilfatfs_alloc.c
Compiling  src/xilfatfs_close.c
Compiling  src/xilfatfs_close.c
Compiling  src/xilfatfs_directory.c
Compiling  src/xilfatfs_directory.c
Compiling  src/xilfatfs_fat.c
Compiling  src/xilfatfs_fat.c
Compiling  src/xilfatfs_fat16.c
Compiling  src/xilfatfs_fat16.c
Compiling  src/xilfatfs_fat32.c
Compiling  src/xilfatfs_fat32.c
Compiling  src/xilfatfs_filespec.c
Compiling  src/xilfatfs_filespec.c
Compiling  src/xilfatfs_filestatus.c
Compiling  src/xilfatfs_filestatus.c
Compiling  src/xilfatfs_open.c
Compiling  src/xilfatfs_open.c
Compiling  src/xilfatfs_part.c
Compiling  src/xilfatfs_part.c
Compiling  src/xilfatfs_read.c
Compiling  src/xilfatfs_read.c
Compiling  src/xilfatfs_wd.c
Compiling  src/xilfatfs_wd.c
Compiling  src/xilfatfs_stats.c
Compiling  src/xilfatfs_stats.c
Compiling  src/xilfatfs_bufcache.c
Compiling  src/xilfatfs_bufcache.c
Compiling  src/xilfatfs_write.c
Compiling  src/xilfatfs_write.c
Compiling  src/xilfatfs_sysace.c
Compiling  src/xilfatfs_sysace.c
make clean
make clean
Compiling opbarb
Compiling opbarb
Compiling uartlite
Compiling uartlite
Compiling sysace
Compiling sysace
Compiling gpio
Compiling gpio
Compiling cpu
Compiling cpu
Libraries generated in D:\mb-jpeg\microblaze_0\lib\ directory
Libraries generated in D:\mb-jpeg\microblaze_0\lib\ directory
Running execs_generate for OS'es, Drivers and Libraries ...
Running execs_generate for OS'es, Drivers and Libraries ...
LibGen Done.
LibGen Done.
Done!
Done!
At Local date and time: Sat Jun 24 17:06:13 2006
At Local date and time: Sat Jun 24 17:06:13 2006
 xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make program; exit;" started...
 xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make program; exit;" started...
mb-gcc -O2 decoder/decoder.c  -o decoder/executable.elf \
mb-gcc -O2 decoder/decoder.c  -o decoder/executable.elf \
 -Wl,-defsym -Wl,_TEXT_START_ADDR=0x50   -mno-xl-soft-mul      -g    -I./microblaze_0/include/  -L./microblaze_0/lib/  \
 -Wl,-defsym -Wl,_TEXT_START_ADDR=0x50   -mno-xl-soft-mul      -g    -I./microblaze_0/include/  -L./microblaze_0/lib/  \
/cygdrive/c/DOCUME~1/S041945/LOCALS~1/Temp/ccSGoXVX.o: In function `main':
/cygdrive/c/DOCUME~1/S041945/LOCALS~1/Temp/ccSGoXVX.o: In function `main':
/cygdrive/d/mb-jpeg/decoder/decoder.c:23: undefined reference to `decode'
/cygdrive/d/mb-jpeg/decoder/decoder.c:23: undefined reference to `decode'
collect2: ld returned 1 exit status
collect2: ld returned 1 exit status
make: *** [decoder/executable.elf] Error 1
make: *** [decoder/executable.elf] Error 1
Done!
Done!
Xilinx Platform Studio (XPS)
Xilinx Platform Studio (XPS)
Xilinx EDK 8.1 Build EDK_I.18.7
Xilinx EDK 8.1 Build EDK_I.18.7
Copyright (c) 1995-2006 Xilinx, Inc.  All rights reserved.
Copyright (c) 1995-2006 Xilinx, Inc.  All rights reserved.
At Local date and time: Sat Jun 24 17:38:27 2006
At Local date and time: Sat Jun 24 17:38:27 2006
 xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make program; exit;" started...
 xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make program; exit;" started...
mb-gcc -O2 decoder/decoder.c  -o decoder/executable.elf \
mb-gcc -O2 decoder/decoder.c  -o decoder/executable.elf \
 -Wl,-defsym -Wl,_TEXT_START_ADDR=0x50   -mno-xl-soft-mul      -g    -I./microblaze_0/include/  -L./microblaze_0/lib/  \
 -Wl,-defsym -Wl,_TEXT_START_ADDR=0x50   -mno-xl-soft-mul      -g    -I./microblaze_0/include/  -L./microblaze_0/lib/  \
-D__MICROBLAZE -D__XUPV2P
-D__MICROBLAZE -D__XUPV2P
/cygdrive/c/DOCUME~1/S041945/LOCALS~1/Temp/ccmi13bU.o: In function `main':
/cygdrive/c/DOCUME~1/S041945/LOCALS~1/Temp/ccmi13bU.o: In function `main':
/cygdrive/d/mb-jpeg/decoder/decoder.c:31: undefined reference to `decode'
/cygdrive/d/mb-jpeg/decoder/decoder.c:31: undefined reference to `decode'
collect2: ld returned 1 exit status
collect2: ld returned 1 exit status
make: *** [decoder/executable.elf] Error 1
make: *** [decoder/executable.elf] Error 1
Done!
Done!
Xilinx Platform Studio (XPS)
Xilinx Platform Studio (XPS)
Xilinx EDK 8.1 Build EDK_I.18.7
Xilinx EDK 8.1 Build EDK_I.18.7
Copyright (c) 1995-2006 Xilinx, Inc.  All rights reserved.
Copyright (c) 1995-2006 Xilinx, Inc.  All rights reserved.
At Local date and time: Sat Jun 24 21:20:11 2006
At Local date and time: Sat Jun 24 21:20:11 2006
 xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make clean; exit;" started...
 xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make clean; exit;" started...
rm -f implementation/system.ngc
rm -f implementation/system.ngc
rm -f implementation/system.bmm
rm -f implementation/system.bmm
rm -f implementation/system.bit
rm -f implementation/system.bit
rm -f implementation/system.ncd
rm -f implementation/system.ncd
rm -f implementation/system_bd.bmm
rm -f implementation/system_bd.bmm
rm -rf implementation synthesis xst hdl
rm -rf implementation synthesis xst hdl
rm -rf xst.srp system.srp
rm -rf xst.srp system.srp
rm -rf microblaze_0/lib/
rm -rf microblaze_0/lib/
rm -f decoder/executable.elf
rm -f decoder/executable.elf
rm -rf simulation/behavioral
rm -rf simulation/behavioral
rm -rf virtualplatform
rm -rf virtualplatform
rm -f _impact.cmd
rm -f _impact.cmd
Done!
Done!
At Local date and time: Sat Jun 24 21:21:11 2006
At Local date and time: Sat Jun 24 21:21:11 2006
 xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make init_bram; exit;" started...
 xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make init_bram; exit;" started...
****************************************************
****************************************************
Creating system netlist for hardware specification..
Creating system netlist for hardware specification..
****************************************************
****************************************************
platgen -p xc2vp30ff896-7 -lang vhdl -lp D:/XilinxXUP/lib/  -st xst system.mhs
platgen -p xc2vp30ff896-7 -lang vhdl -lp D:/XilinxXUP/lib/  -st xst system.mhs
Release Xilinx EDK 8.1 - platgen EDK_I.18.7
Release Xilinx EDK 8.1 - platgen EDK_I.18.7
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
Command Line: platgen -p xc2vp30ff896-7 -lang vhdl -lp D:/XilinxXUP/lib/ -st xst
Command Line: platgen -p xc2vp30ff896-7 -lang vhdl -lp D:/XilinxXUP/lib/ -st xst
system.mhs
system.mhs
Parse system.mhs ...
Parse system.mhs ...
Read MPD definitions ...
Read MPD definitions ...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/microblaze_v4_00_a/data/microblaze_v2_1_0.
C:/EDK/hw/XilinxProcessorIPLib/pcores/microblaze_v4_00_a/data/microblaze_v2_1_0.
tcl ...
tcl ...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_mdm_v2_00_a/data/opb_mdm_v2_1_0.tcl
C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_mdm_v2_00_a/data/opb_mdm_v2_1_0.tcl
...
...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_v10_v1_00_a/data/lmb_v10_v2_1_0.tcl
C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_v10_v1_00_a/data/lmb_v10_v2_1_0.tcl
...
...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_bram_if_cntlr_v1_00_b/data/lmb_bram_if
C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_bram_if_cntlr_v1_00_b/data/lmb_bram_if
_cntlr_v2_1_0.tcl ...
_cntlr_v2_1_0.tcl ...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_sysace_v1_00_c/data/opb_sysace_v2_1_0.
C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_sysace_v1_00_c/data/opb_sysace_v2_1_0.
tcl ...
tcl ...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/dcm_module_v1_00_a/data/dcm_module_v2_1_0.
C:/EDK/hw/XilinxProcessorIPLib/pcores/dcm_module_v1_00_a/data/dcm_module_v2_1_0.
tcl ...
tcl ...
Overriding IP level properties ...
Overriding IP level properties ...
microblaze (microblaze_0) -
microblaze (microblaze_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
mpd line 63 - tool overriding c_family value virtex2 to virtex2p
mpd line 63 - tool overriding c_family value virtex2 to virtex2p
microblaze (microblaze_0) -
microblaze (microblaze_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
mpd line 64 - tool overriding c_instance value microblaze to microblaze_0
mpd line 64 - tool overriding c_instance value microblaze to microblaze_0
microblaze (microblaze_0) -
microblaze (microblaze_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
mpd:93 - tcl overriding C_ADDR_TAG_BITS value 17 to 0
mpd:93 - tcl overriding C_ADDR_TAG_BITS value 17 to 0
microblaze (microblaze_0) -
microblaze (microblaze_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
mpd:100 - tcl overriding C_DCACHE_ADDR_TAG value 17 to 0
mpd:100 - tcl overriding C_DCACHE_ADDR_TAG value 17 to 0
opb_mdm (debug_module) -
opb_mdm (debug_module) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_mdm_v2_00_a\data\opb_mdm_v2_1_0.mpd
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_mdm_v2_00_a\data\opb_mdm_v2_1_0.mpd
line 42 - tool overriding c_family value virtex2 to virtex2p
line 42 - tool overriding c_family value virtex2 to virtex2p
bram_block (lmb_bram) -
bram_block (lmb_bram) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
mpd line 42 - tool overriding c_family value virtex2 to virtex2p
mpd line 42 - tool overriding c_family value virtex2 to virtex2p
opb_gpio (leds_4bit) -
opb_gpio (leds_4bit) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd
line 41 - tool overriding c_family value virtex2 to virtex2p
line 41 - tool overriding c_family value virtex2 to virtex2p
opb_gpio (dipsws_4bit) -
opb_gpio (dipsws_4bit) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd
line 41 - tool overriding c_family value virtex2 to virtex2p
line 41 - tool overriding c_family value virtex2 to virtex2p
opb_gpio (pushbuttons_5bit) -
opb_gpio (pushbuttons_5bit) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd
line 41 - tool overriding c_family value virtex2 to virtex2p
line 41 - tool overriding c_family value virtex2 to virtex2p
dcm_module (dcm_0) -
dcm_module (dcm_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\dcm_module_v1_00_a\data\dcm_module_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\dcm_module_v1_00_a\data\dcm_module_v2_1_0.
mpd line 60 - tool overriding c_family value virtex2 to virtex2p
mpd line 60 - tool overriding c_family value virtex2 to virtex2p
bram_block (data_bram_0) -
bram_block (data_bram_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
mpd line 42 - tool overriding c_family value virtex2 to virtex2p
mpd line 42 - tool overriding c_family value virtex2 to virtex2p
bram_block (data_bram_1) -
bram_block (data_bram_1) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
mpd line 42 - tool overriding c_family value virtex2 to virtex2p
mpd line 42 - tool overriding c_family value virtex2 to virtex2p
Performing IP level DRCs on properties...
Performing IP level DRCs on properties...
Running DRC Tcl procedures for OPTION IPLEVEL_DRC_PROC...
Running DRC Tcl procedures for OPTION IPLEVEL_DRC_PROC...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
2_1_0.tcl ...
2_1_0.tcl ...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
2_1_0.tcl ...
2_1_0.tcl ...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
2_1_0.tcl ...
2_1_0.tcl ...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
2_1_0.tcl ...
2_1_0.tcl ...
Address Map for Processor microblaze_0
Address Map for Processor microblaze_0
  (0x00000000-0x0000ffff) dlmb_cntlr    dlmb
  (0x00000000-0x0000ffff) dlmb_cntlr    dlmb
  (0x00000000-0x0000ffff) ilmb_cntlr    ilmb
  (0x00000000-0x0000ffff) ilmb_cntlr    ilmb
  (0x40000000-0x4000ffff) PushButtons_5Bit      mb_opb
  (0x40000000-0x4000ffff) PushButtons_5Bit      mb_opb
  (0x40020000-0x4002ffff) LEDs_4Bit     mb_opb
  (0x40020000-0x4002ffff) LEDs_4Bit     mb_opb
  (0x40040000-0x4004ffff) DIPSWs_4Bit   mb_opb
  (0x40040000-0x4004ffff) DIPSWs_4Bit   mb_opb
  (0x40600000-0x4060ffff) RS232_Uart_1  mb_opb
  (0x40600000-0x4060ffff) RS232_Uart_1  mb_opb
  (0x41400000-0x4140ffff) debug_module  mb_opb
  (0x41400000-0x4140ffff) debug_module  mb_opb
  (0x41800000-0x4180ffff) SysACE_CompactFlash   mb_opb
  (0x41800000-0x4180ffff) SysACE_CompactFlash   mb_opb
  (0x70000000-0x7000ffff) data_bram_if_cntlr_0  dlmb
  (0x70000000-0x7000ffff) data_bram_if_cntlr_0  dlmb
  (0x70010000-0x7001ffff) data_bram_if_cntlr_1  dlmb
  (0x70010000-0x7001ffff) data_bram_if_cntlr_1  dlmb
Check platform configuration ...
Check platform configuration ...
opb_v20 (mb_opb) - D:\mb-jpeg\system.mhs line 55 - 2 master(s) : 6 slave(s)
opb_v20 (mb_opb) - D:\mb-jpeg\system.mhs line 55 - 2 master(s) : 6 slave(s)
lmb_v10 (ilmb) - D:\mb-jpeg\system.mhs line 81 - 1 master(s) : 1 slave(s)
lmb_v10 (ilmb) - D:\mb-jpeg\system.mhs line 81 - 1 master(s) : 1 slave(s)
lmb_v10 (dlmb) - D:\mb-jpeg\system.mhs line 89 - 1 master(s) : 3 slave(s)
lmb_v10 (dlmb) - D:\mb-jpeg\system.mhs line 89 - 1 master(s) : 3 slave(s)
Check port drivers...
Check port drivers...
WARNING:MDT - dcm_0_lock (LOCKED) - D:\mb-jpeg\system.mhs line 208 - floating
WARNING:MDT - dcm_0_lock (LOCKED) - D:\mb-jpeg\system.mhs line 208 - floating
   connection!
   connection!
Check platform address map ...
Check platform address map ...
Overriding system level properties ...
Overriding system level properties ...
opb_v20 (mb_opb) -
opb_v20 (mb_opb) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_v20_v1_10_c\data\opb_v20_v2_1_0.mpd
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_v20_v1_10_c\data\opb_v20_v2_1_0.mpd
line 39 - tool overriding c_num_masters value 4 to 2
line 39 - tool overriding c_num_masters value 4 to 2
opb_v20 (mb_opb) -
opb_v20 (mb_opb) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_v20_v1_10_c\data\opb_v20_v2_1_0.mpd
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_v20_v1_10_c\data\opb_v20_v2_1_0.mpd
line 40 - tool overriding c_num_slaves value 4 to 6
line 40 - tool overriding c_num_slaves value 4 to 6
lmb_v10 (ilmb) -
lmb_v10 (ilmb) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_v10_v1_00_a\data\lmb_v10_v2_1_0.mpd
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_v10_v1_00_a\data\lmb_v10_v2_1_0.mpd
line 39 - tool overriding c_lmb_num_slaves value 4 to 1
line 39 - tool overriding c_lmb_num_slaves value 4 to 1
lmb_v10 (dlmb) -
lmb_v10 (dlmb) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_v10_v1_00_a\data\lmb_v10_v2_1_0.mpd
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_v10_v1_00_a\data\lmb_v10_v2_1_0.mpd
line 39 - tool overriding c_lmb_num_slaves value 4 to 3
line 39 - tool overriding c_lmb_num_slaves value 4 to 3
lmb_bram_if_cntlr (dlmb_cntlr) -
lmb_bram_if_cntlr (dlmb_cntlr) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_bram_if_cntlr_v1_00_b\data\lmb_bram_if
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_bram_if_cntlr_v1_00_b\data\lmb_bram_if
_cntlr_v2_1_0.mpd line 45 - tool overriding c_mask value 0x00800000 to
_cntlr_v2_1_0.mpd line 45 - tool overriding c_mask value 0x00800000 to
0x70c70000
0x70c70000
lmb_bram_if_cntlr (ilmb_cntlr) -
lmb_bram_if_cntlr (ilmb_cntlr) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_bram_if_cntlr_v1_00_b\data\lmb_bram_if
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_bram_if_cntlr_v1_00_b\data\lmb_bram_if
_cntlr_v2_1_0.mpd line 45 - tool overriding c_mask value 0x00800000 to
_cntlr_v2_1_0.mpd line 45 - tool overriding c_mask value 0x00800000 to
0x70c70000
0x70c70000
bram_block (lmb_bram) -
bram_block (lmb_bram) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
mpd line 38 - tool overriding c_memsize value 2048 to 0x10000
mpd line 38 - tool overriding c_memsize value 2048 to 0x10000
bram_block (data_bram_0) - D:\mb-jpeg\system.mhs line 214 - tool overriding
bram_block (data_bram_0) - D:\mb-jpeg\system.mhs line 214 - tool overriding
c_memsize value 16384 to 0x10000
c_memsize value 16384 to 0x10000
lmb_bram_if_cntlr (data_bram_if_cntlr_0) -
lmb_bram_if_cntlr (data_bram_if_cntlr_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_bram_if_cntlr_v1_00_b\data\lmb_bram_if
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_bram_if_cntlr_v1_00_b\data\lmb_bram_if
_cntlr_v2_1_0.mpd line 45 - tool overriding c_mask value 0x00800000 to
_cntlr_v2_1_0.mpd line 45 - tool overriding c_mask value 0x00800000 to
0x70c70000
0x70c70000
bram_block (data_bram_1) - D:\mb-jpeg\system.mhs line 230 - tool overriding
bram_block (data_bram_1) - D:\mb-jpeg\system.mhs line 230 - tool overriding
c_memsize value 16384 to 0x10000
c_memsize value 16384 to 0x10000
lmb_bram_if_cntlr (data_bram_if_cntlr_1) -
lmb_bram_if_cntlr (data_bram_if_cntlr_1) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_bram_if_cntlr_v1_00_b\data\lmb_bram_if
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_bram_if_cntlr_v1_00_b\data\lmb_bram_if
_cntlr_v2_1_0.mpd line 45 - tool overriding c_mask value 0x00800000 to
_cntlr_v2_1_0.mpd line 45 - tool overriding c_mask value 0x00800000 to
0x70c70000
0x70c70000
Running DRC Tcl procedures for OPTION SYSLEVEL_DRC_PROC...
Running DRC Tcl procedures for OPTION SYSLEVEL_DRC_PROC...
Performing System level DRCs on properties...
Performing System level DRCs on properties...
Running UPDATE Tcl procedures for OPTION PLATGEN_SYSLEVEL_UPDATE_PROC...
Running UPDATE Tcl procedures for OPTION PLATGEN_SYSLEVEL_UPDATE_PROC...
Modify defaults ...
Modify defaults ...
Processing licensed instances ...
Processing licensed instances ...
Completion time: 0.00 seconds
Completion time: 0.00 seconds
Creating hardware output directories ...
Creating hardware output directories ...
Managing hardware (BBD-specified) netlist files ...
Managing hardware (BBD-specified) netlist files ...
Managing cache ...
Managing cache ...
Elaborating instances ...
Elaborating instances ...
bram_block (lmb_bram) - D:\mb-jpeg\system.mhs:115 - elaborating IP
bram_block (lmb_bram) - D:\mb-jpeg\system.mhs:115 - elaborating IP
bram_block (data_bram_0) - D:\mb-jpeg\system.mhs:211 - elaborating IP
bram_block (data_bram_0) - D:\mb-jpeg\system.mhs:211 - elaborating IP
bram_block (data_bram_1) - D:\mb-jpeg\system.mhs:227 - elaborating IP
bram_block (data_bram_1) - D:\mb-jpeg\system.mhs:227 - elaborating IP
Writing HDL for elaborated instances ...
Writing HDL for elaborated instances ...
Inserting wrapper level ...
Inserting wrapper level ...
Completion time: 3.00 seconds
Completion time: 3.00 seconds
Constructing platform-level signal connectivity ...
Constructing platform-level signal connectivity ...
Completion time: 3.00 seconds
Completion time: 3.00 seconds
Writing (top-level) BMM ...
Writing (top-level) BMM ...
Writing BMM - D:\mb-jpeg\implementation\system.bmm
Writing BMM - D:\mb-jpeg\implementation\system.bmm
Writing (top-level and wrappers) HDL ...
Writing (top-level and wrappers) HDL ...
Generating synthesis project file ...
Generating synthesis project file ...
Running XST synthesis ...
Running XST synthesis ...
INFO:MDT - The following instances are synthesized with XST. The MPD option
INFO:MDT - The following instances are synthesized with XST. The MPD option
   IMP_NETLIST=TRUE indicates that a NGC file is to be produced using XST
   IMP_NETLIST=TRUE indicates that a NGC file is to be produced using XST
   synthesis. IMP_NETLIST=FALSE (default) instances are not synthesized.
   synthesis. IMP_NETLIST=FALSE (default) instances are not synthesized.
microblaze_0_wrapper (microblaze_0) - D:\mb-jpeg\system.mhs:35 - Running XST
microblaze_0_wrapper (microblaze_0) - D:\mb-jpeg\system.mhs:35 - Running XST
synthesis
synthesis
mb_opb_wrapper (mb_opb) - D:\mb-jpeg\system.mhs:55 - Running XST synthesis
mb_opb_wrapper (mb_opb) - D:\mb-jpeg\system.mhs:55 - Running XST synthesis
debug_module_wrapper (debug_module) - D:\mb-jpeg\system.mhs:63 - Running XST
debug_module_wrapper (debug_module) - D:\mb-jpeg\system.mhs:63 - Running XST
synthesis
synthesis
Trying to terminate Process...
Trying to terminate Process...
Done!
Done!
Xilinx Platform Studio (XPS)
Xilinx Platform Studio (XPS)
Xilinx EDK 8.1 Build EDK_I.18.7
Xilinx EDK 8.1 Build EDK_I.18.7
Copyright (c) 1995-2006 Xilinx, Inc.  All rights reserved.
Copyright (c) 1995-2006 Xilinx, Inc.  All rights reserved.
At Local date and time: Thu Jul 06 21:44:34 2006
At Local date and time: Thu Jul 06 21:44:34 2006
 xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make program; exit;" started...
 xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make program; exit;" started...
*********************************************
*********************************************
Creating software libraries...
Creating software libraries...
*********************************************
*********************************************
libgen -mhs system.mhs -p xc2vp30ff896-7 -lp D:/XilinxXUP/lib/  system.mss
libgen -mhs system.mhs -p xc2vp30ff896-7 -lp D:/XilinxXUP/lib/  system.mss
libgen
libgen
Xilinx EDK 8.1 Build EDK_I.18.7
Xilinx EDK 8.1 Build EDK_I.18.7
Copyright (c) 1995-2006 Xilinx, Inc.  All rights reserved.
Copyright (c) 1995-2006 Xilinx, Inc.  All rights reserved.
Command Line: libgen -mhs system.mhs -p xc2vp30ff896-7 -lp D:/XilinxXUP/lib/
Command Line: libgen -mhs system.mhs -p xc2vp30ff896-7 -lp D:/XilinxXUP/lib/
system.mss
system.mss
Output Directory (-od)          : D:\mb-jpeg\
Output Directory (-od)          : D:\mb-jpeg\
Part (-p)                       : virtex2p
Part (-p)                       : virtex2p
Software Specification file     : system.mss
Software Specification file     : system.mss
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/microblaze_v4_00_a/data/microblaze_v2_1_0.
C:/EDK/hw/XilinxProcessorIPLib/pcores/microblaze_v4_00_a/data/microblaze_v2_1_0.
tcl ...
tcl ...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_mdm_v2_00_a/data/opb_mdm_v2_1_0.tcl
C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_mdm_v2_00_a/data/opb_mdm_v2_1_0.tcl
...
...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_v10_v1_00_a/data/lmb_v10_v2_1_0.tcl
C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_v10_v1_00_a/data/lmb_v10_v2_1_0.tcl
...
...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_bram_if_cntlr_v1_00_b/data/lmb_bram_if
C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_bram_if_cntlr_v1_00_b/data/lmb_bram_if
_cntlr_v2_1_0.tcl ...
_cntlr_v2_1_0.tcl ...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_sysace_v1_00_c/data/opb_sysace_v2_1_0.
C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_sysace_v1_00_c/data/opb_sysace_v2_1_0.
tcl ...
tcl ...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/dcm_module_v1_00_a/data/dcm_module_v2_1_0.
C:/EDK/hw/XilinxProcessorIPLib/pcores/dcm_module_v1_00_a/data/dcm_module_v2_1_0.
tcl ...
tcl ...
Overriding IP level properties ...
Overriding IP level properties ...
microblaze (microblaze_0) -
microblaze (microblaze_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
mpd line 63 - tool overriding c_family value virtex2 to virtex2p
mpd line 63 - tool overriding c_family value virtex2 to virtex2p
microblaze (microblaze_0) -
microblaze (microblaze_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
mpd line 64 - tool overriding c_instance value microblaze to microblaze_0
mpd line 64 - tool overriding c_instance value microblaze to microblaze_0
microblaze (microblaze_0) -
microblaze (microblaze_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
mpd:93 - tcl overriding C_ADDR_TAG_BITS value 17 to 0
mpd:93 - tcl overriding C_ADDR_TAG_BITS value 17 to 0
microblaze (microblaze_0) -
microblaze (microblaze_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
mpd:100 - tcl overriding C_DCACHE_ADDR_TAG value 17 to 0
mpd:100 - tcl overriding C_DCACHE_ADDR_TAG value 17 to 0
opb_mdm (debug_module) -
opb_mdm (debug_module) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_mdm_v2_00_a\data\opb_mdm_v2_1_0.mpd
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_mdm_v2_00_a\data\opb_mdm_v2_1_0.mpd
line 42 - tool overriding c_family value virtex2 to virtex2p
line 42 - tool overriding c_family value virtex2 to virtex2p
bram_block (lmb_bram) -
bram_block (lmb_bram) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
mpd line 42 - tool overriding c_family value virtex2 to virtex2p
mpd line 42 - tool overriding c_family value virtex2 to virtex2p
opb_gpio (leds_4bit) -
opb_gpio (leds_4bit) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd
line 41 - tool overriding c_family value virtex2 to virtex2p
line 41 - tool overriding c_family value virtex2 to virtex2p
opb_gpio (dipsws_4bit) -
opb_gpio (dipsws_4bit) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd
line 41 - tool overriding c_family value virtex2 to virtex2p
line 41 - tool overriding c_family value virtex2 to virtex2p
opb_gpio (pushbuttons_5bit) -
opb_gpio (pushbuttons_5bit) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd
line 41 - tool overriding c_family value virtex2 to virtex2p
line 41 - tool overriding c_family value virtex2 to virtex2p
dcm_module (dcm_0) -
dcm_module (dcm_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\dcm_module_v1_00_a\data\dcm_module_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\dcm_module_v1_00_a\data\dcm_module_v2_1_0.
mpd line 60 - tool overriding c_family value virtex2 to virtex2p
mpd line 60 - tool overriding c_family value virtex2 to virtex2p
bram_block (data_bram_0) -
bram_block (data_bram_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
mpd line 42 - tool overriding c_family value virtex2 to virtex2p
mpd line 42 - tool overriding c_family value virtex2 to virtex2p
bram_block (data_bram_1) -
bram_block (data_bram_1) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
mpd line 42 - tool overriding c_family value virtex2 to virtex2p
mpd line 42 - tool overriding c_family value virtex2 to virtex2p
Performing IP level DRCs on properties...
Performing IP level DRCs on properties...
Running DRC Tcl procedures for OPTION IPLEVEL_DRC_PROC...
Running DRC Tcl procedures for OPTION IPLEVEL_DRC_PROC...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
2_1_0.tcl ...
2_1_0.tcl ...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
2_1_0.tcl ...
2_1_0.tcl ...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
2_1_0.tcl ...
2_1_0.tcl ...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
2_1_0.tcl ...
2_1_0.tcl ...
Address Map for Processor microblaze_0
Address Map for Processor microblaze_0
  (0x00000000-0x0000ffff) dlmb_cntlr    dlmb
  (0x00000000-0x0000ffff) dlmb_cntlr    dlmb
  (0x00000000-0x0000ffff) ilmb_cntlr    ilmb
  (0x00000000-0x0000ffff) ilmb_cntlr    ilmb
  (0x40000000-0x4000ffff) PushButtons_5Bit      mb_opb
  (0x40000000-0x4000ffff) PushButtons_5Bit      mb_opb
  (0x40020000-0x4002ffff) LEDs_4Bit     mb_opb
  (0x40020000-0x4002ffff) LEDs_4Bit     mb_opb
  (0x40040000-0x4004ffff) DIPSWs_4Bit   mb_opb
  (0x40040000-0x4004ffff) DIPSWs_4Bit   mb_opb
  (0x40600000-0x4060ffff) RS232_Uart_1  mb_opb
  (0x40600000-0x4060ffff) RS232_Uart_1  mb_opb
  (0x41400000-0x4140ffff) debug_module  mb_opb
  (0x41400000-0x4140ffff) debug_module  mb_opb
  (0x41800000-0x4180ffff) SysACE_CompactFlash   mb_opb
  (0x41800000-0x4180ffff) SysACE_CompactFlash   mb_opb
  (0x70000000-0x7000ffff) data_bram_if_cntlr_0  dlmb
  (0x70000000-0x7000ffff) data_bram_if_cntlr_0  dlmb
  (0x70010000-0x7001ffff) data_bram_if_cntlr_1  dlmb
  (0x70010000-0x7001ffff) data_bram_if_cntlr_1  dlmb
Check platform configuration ...
Check platform configuration ...
opb_v20 (mb_opb) - D:\mb-jpeg\system.mhs line 55 - 2 master(s) : 6 slave(s)
opb_v20 (mb_opb) - D:\mb-jpeg\system.mhs line 55 - 2 master(s) : 6 slave(s)
lmb_v10 (ilmb) - D:\mb-jpeg\system.mhs line 81 - 1 master(s) : 1 slave(s)
lmb_v10 (ilmb) - D:\mb-jpeg\system.mhs line 81 - 1 master(s) : 1 slave(s)
lmb_v10 (dlmb) - D:\mb-jpeg\system.mhs line 89 - 1 master(s) : 3 slave(s)
lmb_v10 (dlmb) - D:\mb-jpeg\system.mhs line 89 - 1 master(s) : 3 slave(s)
Check port drivers...
Check port drivers...
WARNING:MDT - dcm_0_lock (LOCKED) - D:\mb-jpeg\system.mhs line 208 - floating
WARNING:MDT - dcm_0_lock (LOCKED) - D:\mb-jpeg\system.mhs line 208 - floating
   connection!
   connection!
Check platform address map ...
Check platform address map ...
Overriding system level properties ...
Overriding system level properties ...
opb_v20 (mb_opb) -
opb_v20 (mb_opb) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_v20_v1_10_c\data\opb_v20_v2_1_0.mpd
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_v20_v1_10_c\data\opb_v20_v2_1_0.mpd
line 39 - tool overriding c_num_masters value 4 to 2
line 39 - tool overriding c_num_masters value 4 to 2
opb_v20 (mb_opb) -
opb_v20 (mb_opb) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_v20_v1_10_c\data\opb_v20_v2_1_0.mpd
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_v20_v1_10_c\data\opb_v20_v2_1_0.mpd
line 40 - tool overriding c_num_slaves value 4 to 6
line 40 - tool overriding c_num_slaves value 4 to 6
lmb_v10 (ilmb) -
lmb_v10 (ilmb) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_v10_v1_00_a\data\lmb_v10_v2_1_0.mpd
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_v10_v1_00_a\data\lmb_v10_v2_1_0.mpd
line 39 - tool overriding c_lmb_num_slaves value 4 to 1
line 39 - tool overriding c_lmb_num_slaves value 4 to 1
lmb_v10 (dlmb) -
lmb_v10 (dlmb) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_v10_v1_00_a\data\lmb_v10_v2_1_0.mpd
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_v10_v1_00_a\data\lmb_v10_v2_1_0.mpd
line 39 - tool overriding c_lmb_num_slaves value 4 to 3
line 39 - tool overriding c_lmb_num_slaves value 4 to 3
lmb_bram_if_cntlr (dlmb_cntlr) -
lmb_bram_if_cntlr (dlmb_cntlr) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_bram_if_cntlr_v1_00_b\data\lmb_bram_if
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_bram_if_cntlr_v1_00_b\data\lmb_bram_if
_cntlr_v2_1_0.mpd line 45 - tool overriding c_mask value 0x00800000 to
_cntlr_v2_1_0.mpd line 45 - tool overriding c_mask value 0x00800000 to
0x70c70000
0x70c70000
lmb_bram_if_cntlr (ilmb_cntlr) -
lmb_bram_if_cntlr (ilmb_cntlr) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_bram_if_cntlr_v1_00_b\data\lmb_bram_if
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_bram_if_cntlr_v1_00_b\data\lmb_bram_if
_cntlr_v2_1_0.mpd line 45 - tool overriding c_mask value 0x00800000 to
_cntlr_v2_1_0.mpd line 45 - tool overriding c_mask value 0x00800000 to
0x70c70000
0x70c70000
bram_block (lmb_bram) -
bram_block (lmb_bram) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
mpd line 38 - tool overriding c_memsize value 2048 to 0x10000
mpd line 38 - tool overriding c_memsize value 2048 to 0x10000
bram_block (data_bram_0) - D:\mb-jpeg\system.mhs line 214 - tool overriding
bram_block (data_bram_0) - D:\mb-jpeg\system.mhs line 214 - tool overriding
c_memsize value 16384 to 0x10000
c_memsize value 16384 to 0x10000
lmb_bram_if_cntlr (data_bram_if_cntlr_0) -
lmb_bram_if_cntlr (data_bram_if_cntlr_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_bram_if_cntlr_v1_00_b\data\lmb_bram_if
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_bram_if_cntlr_v1_00_b\data\lmb_bram_if
_cntlr_v2_1_0.mpd line 45 - tool overriding c_mask value 0x00800000 to
_cntlr_v2_1_0.mpd line 45 - tool overriding c_mask value 0x00800000 to
0x70c70000
0x70c70000
bram_block (data_bram_1) - D:\mb-jpeg\system.mhs line 230 - tool overriding
bram_block (data_bram_1) - D:\mb-jpeg\system.mhs line 230 - tool overriding
c_memsize value 16384 to 0x10000
c_memsize value 16384 to 0x10000
lmb_bram_if_cntlr (data_bram_if_cntlr_1) -
lmb_bram_if_cntlr (data_bram_if_cntlr_1) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_bram_if_cntlr_v1_00_b\data\lmb_bram_if
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_bram_if_cntlr_v1_00_b\data\lmb_bram_if
_cntlr_v2_1_0.mpd line 45 - tool overriding c_mask value 0x00800000 to
_cntlr_v2_1_0.mpd line 45 - tool overriding c_mask value 0x00800000 to
0x70c70000
0x70c70000
Running DRC Tcl procedures for OPTION SYSLEVEL_DRC_PROC...
Running DRC Tcl procedures for OPTION SYSLEVEL_DRC_PROC...
Performing System level DRCs on properties...
Performing System level DRCs on properties...
INFO:MDT - List of peripherals addressable from processor instance microblaze_0
INFO:MDT - List of peripherals addressable from processor instance microblaze_0
   :
   :
  - dlmb
  - dlmb
WARNING:MDT - D:\mb-jpeg\system.mhs line 89 - No Driver Found for instance dlmb.
WARNING:MDT - D:\mb-jpeg\system.mhs line 89 - No Driver Found for instance dlmb.
   To avoid seeing this warning, assign the appropriate driver or driver
   To avoid seeing this warning, assign the appropriate driver or driver
   "generic 1.00.a " to instance dlmb
   "generic 1.00.a " to instance dlmb
  - dlmb_cntlr
  - dlmb_cntlr
  - data_bram_if_cntlr_0
  - data_bram_if_cntlr_0
  - data_bram_if_cntlr_1
  - data_bram_if_cntlr_1
  - ilmb
  - ilmb
WARNING:MDT - D:\mb-jpeg\system.mhs line 81 - No Driver Found for instance ilmb.
WARNING:MDT - D:\mb-jpeg\system.mhs line 81 - No Driver Found for instance ilmb.
   To avoid seeing this warning, assign the appropriate driver or driver
   To avoid seeing this warning, assign the appropriate driver or driver
   "generic 1.00.a " to instance ilmb
   "generic 1.00.a " to instance ilmb
  - ilmb_cntlr
  - ilmb_cntlr
  - mb_opb
  - mb_opb
  - debug_module
  - debug_module
  - RS232_Uart_1
  - RS232_Uart_1
  - SysACE_CompactFlash
  - SysACE_CompactFlash
  - LEDs_4Bit
  - LEDs_4Bit
  - DIPSWs_4Bit
  - DIPSWs_4Bit
  - PushButtons_5Bit
  - PushButtons_5Bit
Building Directory Structure for microblaze_0
Building Directory Structure for microblaze_0
Generating platform libraries and device drivers ...
Generating platform libraries and device drivers ...
Running CopyFiles ...
Running CopyFiles ...
Copying files for os standalone_v1_00_a from
Copying files for os standalone_v1_00_a from
C:\EDK\sw\lib\bsp\standalone_v1_00_a\src\ to
C:\EDK\sw\lib\bsp\standalone_v1_00_a\src\ to
D:\mb-jpeg\microblaze_0\libsrc\standalone_v1_00_a\ ...
D:\mb-jpeg\microblaze_0\libsrc\standalone_v1_00_a\ ...
Copying files for driver opbarb_v1_02_a from
Copying files for driver opbarb_v1_02_a from
C:\EDK\sw\XilinxProcessorIPLib\drivers\opbarb_v1_02_a\src\ to
C:\EDK\sw\XilinxProcessorIPLib\drivers\opbarb_v1_02_a\src\ to
D:\mb-jpeg\microblaze_0\libsrc\opbarb_v1_02_a\ ...
D:\mb-jpeg\microblaze_0\libsrc\opbarb_v1_02_a\ ...
Copying files for driver uartlite_v1_00_b from
Copying files for driver uartlite_v1_00_b from
C:\EDK\sw\XilinxProcessorIPLib\drivers\uartlite_v1_00_b\src\ to
C:\EDK\sw\XilinxProcessorIPLib\drivers\uartlite_v1_00_b\src\ to
D:\mb-jpeg\microblaze_0\libsrc\uartlite_v1_00_b\ ...
D:\mb-jpeg\microblaze_0\libsrc\uartlite_v1_00_b\ ...
Copying files for driver sysace_v1_00_a from
Copying files for driver sysace_v1_00_a from
C:\EDK\sw\XilinxProcessorIPLib\drivers\sysace_v1_00_a\src\ to
C:\EDK\sw\XilinxProcessorIPLib\drivers\sysace_v1_00_a\src\ to
D:\mb-jpeg\microblaze_0\libsrc\sysace_v1_00_a\ ...
D:\mb-jpeg\microblaze_0\libsrc\sysace_v1_00_a\ ...
Copying files for driver gpio_v2_00_a from
Copying files for driver gpio_v2_00_a from
C:\EDK\sw\XilinxProcessorIPLib\drivers\gpio_v2_00_a\src\ to
C:\EDK\sw\XilinxProcessorIPLib\drivers\gpio_v2_00_a\src\ to
D:\mb-jpeg\microblaze_0\libsrc\gpio_v2_00_a\ ...
D:\mb-jpeg\microblaze_0\libsrc\gpio_v2_00_a\ ...
Copying files for driver cpu_v1_00_a from
Copying files for driver cpu_v1_00_a from
C:\EDK\sw\XilinxProcessorIPLib\drivers\cpu_v1_00_a\src\ to
C:\EDK\sw\XilinxProcessorIPLib\drivers\cpu_v1_00_a\src\ to
D:\mb-jpeg\microblaze_0\libsrc\cpu_v1_00_a\ ...
D:\mb-jpeg\microblaze_0\libsrc\cpu_v1_00_a\ ...
Copying files for library xilfatfs_v1_00_a from
Copying files for library xilfatfs_v1_00_a from
C:\EDK\sw\lib\sw_services\xilfatfs_v1_00_a\src\ to
C:\EDK\sw\lib\sw_services\xilfatfs_v1_00_a\src\ to
D:\mb-jpeg\microblaze_0\libsrc\xilfatfs_v1_00_a\ ...
D:\mb-jpeg\microblaze_0\libsrc\xilfatfs_v1_00_a\ ...
Running DRCs for OSes, Drivers and Libraries ...
Running DRCs for OSes, Drivers and Libraries ...
Running generate for OS'es, Drivers and Libraries ...
Running generate for OS'es, Drivers and Libraries ...
Copying Library Files ...
Copying Library Files ...
Running post_generate for OS'es, Drivers and Libraries ...
Running post_generate for OS'es, Drivers and Libraries ...
Running make for Drivers and Libraries ...
Running make for Drivers and Libraries ...
Configuring make for target include using:
Configuring make for target include using:
make -s include "COMPILER=mb-gcc" "ARCHIVER=mb-ar"
make -s include "COMPILER=mb-gcc" "ARCHIVER=mb-ar"
"COMPILER_FLAGS=-mno-xl-soft-mul  -O2 -c" "EXTRA_COMPILER_FLAGS=-g"
"COMPILER_FLAGS=-mno-xl-soft-mul  -O2 -c" "EXTRA_COMPILER_FLAGS=-g"
Configuring make for target libs using:
Configuring make for target libs using:
make -s libs "COMPILER=mb-gcc" "ARCHIVER=mb-ar"
make -s libs "COMPILER=mb-gcc" "ARCHIVER=mb-ar"
"COMPILER_FLAGS=-mno-xl-soft-mul  -O2 -c" "EXTRA_COMPILER_FLAGS=-g"
"COMPILER_FLAGS=-mno-xl-soft-mul  -O2 -c" "EXTRA_COMPILER_FLAGS=-g"
Compiling common
Compiling common
Compiling ipif
Compiling ipif
Compiling  microblaze_disable_dcache.s
Compiling  microblaze_disable_dcache.s
Compiling  microblaze_disable_exceptions.s
Compiling  microblaze_disable_exceptions.s
Compiling  microblaze_disable_icache.s
Compiling  microblaze_disable_icache.s
Compiling  microblaze_disable_interrupts.s
Compiling  microblaze_disable_interrupts.s
Compiling  microblaze_enable_dcache.s
Compiling  microblaze_enable_dcache.s
Compiling  microblaze_enable_exceptions.s
Compiling  microblaze_enable_exceptions.s
Compiling  microblaze_enable_icache.s
Compiling  microblaze_enable_icache.s
Compiling  microblaze_enable_interrupts.s
Compiling  microblaze_enable_interrupts.s
Compiling  microblaze_init_dcache_range.s
Compiling  microblaze_init_dcache_range.s
Compiling  microblaze_init_icache_range.s
Compiling  microblaze_init_icache_range.s
Compiling  microblaze_update_dcache.s
Compiling  microblaze_update_dcache.s
Compiling  microblaze_update_icache.s
Compiling  microblaze_update_icache.s
Compiling  _exit.c
Compiling  _exit.c
Compiling  errno.c
Compiling  errno.c
Compiling  fcntl.c
Compiling  fcntl.c
Compiling  inbyte.c
Compiling  inbyte.c
Compiling  microblaze_exception_handler.c
Compiling  microblaze_exception_handler.c
Compiling  microblaze_exceptions_g.c
Compiling  microblaze_exceptions_g.c
Compiling  microblaze_interrupt_handler.c
Compiling  microblaze_interrupt_handler.c
Compiling  microblaze_interrupts_g.c
Compiling  microblaze_interrupts_g.c
Compiling  outbyte.c
Compiling  outbyte.c
Compiling  hw_exception_handler.S
Compiling  hw_exception_handler.S
Compiling  src/xilfatfs_alloc.c
Compiling  src/xilfatfs_alloc.c
Compiling  src/xilfatfs_close.c
Compiling  src/xilfatfs_close.c
Compiling  src/xilfatfs_directory.c
Compiling  src/xilfatfs_directory.c
Compiling  src/xilfatfs_fat.c
Compiling  src/xilfatfs_fat.c
Compiling  src/xilfatfs_fat16.c
Compiling  src/xilfatfs_fat16.c
Compiling  src/xilfatfs_fat32.c
Compiling  src/xilfatfs_fat32.c
Compiling  src/xilfatfs_filespec.c
Compiling  src/xilfatfs_filespec.c
Compiling  src/xilfatfs_filestatus.c
Compiling  src/xilfatfs_filestatus.c
Compiling  src/xilfatfs_open.c
Compiling  src/xilfatfs_open.c
Compiling  src/xilfatfs_part.c
Compiling  src/xilfatfs_part.c
Compiling  src/xilfatfs_read.c
Compiling  src/xilfatfs_read.c
Compiling  src/xilfatfs_wd.c
Compiling  src/xilfatfs_wd.c
Compiling  src/xilfatfs_stats.c
Compiling  src/xilfatfs_stats.c
Compiling  src/xilfatfs_bufcache.c
Compiling  src/xilfatfs_bufcache.c
Compiling  src/xilfatfs_write.c
Compiling  src/xilfatfs_write.c
Compiling  src/xilfatfs_sysace.c
Compiling  src/xilfatfs_sysace.c
make clean
make clean
Compiling opbarb
Compiling opbarb
Compiling uartlite
Compiling uartlite
Compiling sysace
Compiling sysace
Compiling gpio
Compiling gpio
Compiling cpu
Compiling cpu
Libraries generated in D:\mb-jpeg\microblaze_0\lib\ directory
Libraries generated in D:\mb-jpeg\microblaze_0\lib\ directory
Running execs_generate for OS'es, Drivers and Libraries ...
Running execs_generate for OS'es, Drivers and Libraries ...
LibGen Done.
LibGen Done.
mb-gcc -O2 decoder/decoder.c  -o decoder/executable.elf \
mb-gcc -O2 decoder/decoder.c  -o decoder/executable.elf \
 -Wl,-defsym -Wl,_TEXT_START_ADDR=0x50   -mno-xl-soft-mul      -g    -I./microblaze_0/include/  -L./microblaze_0/lib/  \
 -Wl,-defsym -Wl,_TEXT_START_ADDR=0x50   -mno-xl-soft-mul      -g    -I./microblaze_0/include/  -L./microblaze_0/lib/  \
-D__MICROBLAZE -D__XUPV2P
-D__MICROBLAZE -D__XUPV2P
/cygdrive/c/DOCUME~1/S041945/LOCALS~1/Temp/ccsmDQpU.o: In function `main':
/cygdrive/c/DOCUME~1/S041945/LOCALS~1/Temp/ccsmDQpU.o: In function `main':
/cygdrive/d/mb-jpeg/decoder/decoder.c:11: undefined reference to `bmpsize'
/cygdrive/d/mb-jpeg/decoder/decoder.c:11: undefined reference to `bmpsize'
/cygdrive/d/mb-jpeg/decoder/decoder.c:28: undefined reference to `decode'
/cygdrive/d/mb-jpeg/decoder/decoder.c:28: undefined reference to `decode'
/cygdrive/d/mb-jpeg/decoder/decoder.c:37: undefined reference to `bmpsize'
/cygdrive/d/mb-jpeg/decoder/decoder.c:37: undefined reference to `bmpsize'
/cygdrive/d/mb-jpeg/decoder/decoder.c:40: undefined reference to `bmpsize'
/cygdrive/d/mb-jpeg/decoder/decoder.c:40: undefined reference to `bmpsize'
collect2: ld returned 1 exit status
collect2: ld returned 1 exit status
make: *** [decoder/executable.elf] Error 1
make: *** [decoder/executable.elf] Error 1
Done!
Done!
At Local date and time: Thu Jul 06 21:45:56 2006
At Local date and time: Thu Jul 06 21:45:56 2006
 xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make program; exit;" started...
 xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make program; exit;" started...
mb-gcc -O2 decoder/decoder.c  -o decoder/executable.elf \
mb-gcc -O2 decoder/decoder.c  -o decoder/executable.elf \
 -Wl,-defsym -Wl,_TEXT_START_ADDR=0x50   -mno-xl-soft-mul      -g    -I./microblaze_0/include/  -L./microblaze_0/lib/  \
 -Wl,-defsym -Wl,_TEXT_START_ADDR=0x50   -mno-xl-soft-mul      -g    -I./microblaze_0/include/  -L./microblaze_0/lib/  \
-D__MICROBLAZE -D__XUPV2P
-D__MICROBLAZE -D__XUPV2P
/cygdrive/c/DOCUME~1/S041945/LOCALS~1/Temp/ccgwGBpl.o: In function `main':
/cygdrive/c/DOCUME~1/S041945/LOCALS~1/Temp/ccgwGBpl.o: In function `main':
/cygdrive/d/mb-jpeg/decoder/decoder.c:11: undefined reference to `bmpsize'
/cygdrive/d/mb-jpeg/decoder/decoder.c:11: undefined reference to `bmpsize'
/cygdrive/d/mb-jpeg/decoder/decoder.c:28: undefined reference to `decode'
/cygdrive/d/mb-jpeg/decoder/decoder.c:28: undefined reference to `decode'
/cygdrive/d/mb-jpeg/decoder/decoder.c:37: undefined reference to `bmpsize'
/cygdrive/d/mb-jpeg/decoder/decoder.c:37: undefined reference to `bmpsize'
/cygdrive/d/mb-jpeg/decoder/decoder.c:40: undefined reference to `bmpsize'
/cygdrive/d/mb-jpeg/decoder/decoder.c:40: undefined reference to `bmpsize'
collect2: ld returned 1 exit status
collect2: ld returned 1 exit status
make: *** [decoder/executable.elf] Error 1
make: *** [decoder/executable.elf] Error 1
Done!
Done!
At Local date and time: Thu Jul 06 21:46:15 2006
At Local date and time: Thu Jul 06 21:46:15 2006
 xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make program; exit;" started...
 xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make program; exit;" started...
mb-gcc -O2 testbench1/tb1.c  -o Testbench1/executable.elf \
mb-gcc -O2 testbench1/tb1.c  -o Testbench1/executable.elf \
    -mno-xl-soft-mul      -g    -I./microblaze_0/include/  -L./microblaze_0/lib/  \
    -mno-xl-soft-mul      -g    -I./microblaze_0/include/  -L./microblaze_0/lib/  \
mb-size Testbench1/executable.elf
mb-size Testbench1/executable.elf
   text    data     bss     dec     hex filename
   text    data     bss     dec     hex filename
  21580     144   13832   35556    8ae4 Testbench1/executable.elf
  21580     144   13832   35556    8ae4 Testbench1/executable.elf
Done!
Done!
At Local date and time: Thu Jul 06 21:46:54 2006
At Local date and time: Thu Jul 06 21:46:54 2006
 xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make init_bram; exit;" started...
 xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make init_bram; exit;" started...
****************************************************
****************************************************
Creating system netlist for hardware specification..
Creating system netlist for hardware specification..
****************************************************
****************************************************
platgen -p xc2vp30ff896-7 -lang vhdl -lp D:/XilinxXUP/lib/  -st xst system.mhs
platgen -p xc2vp30ff896-7 -lang vhdl -lp D:/XilinxXUP/lib/  -st xst system.mhs
Release Xilinx EDK 8.1 - platgen EDK_I.18.7
Release Xilinx EDK 8.1 - platgen EDK_I.18.7
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
Command Line: platgen -p xc2vp30ff896-7 -lang vhdl -lp D:/XilinxXUP/lib/ -st xst
Command Line: platgen -p xc2vp30ff896-7 -lang vhdl -lp D:/XilinxXUP/lib/ -st xst
system.mhs
system.mhs
Parse system.mhs ...
Parse system.mhs ...
Read MPD definitions ...
Read MPD definitions ...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/microblaze_v4_00_a/data/microblaze_v2_1_0.
C:/EDK/hw/XilinxProcessorIPLib/pcores/microblaze_v4_00_a/data/microblaze_v2_1_0.
tcl ...
tcl ...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_mdm_v2_00_a/data/opb_mdm_v2_1_0.tcl
C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_mdm_v2_00_a/data/opb_mdm_v2_1_0.tcl
...
...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_v10_v1_00_a/data/lmb_v10_v2_1_0.tcl
C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_v10_v1_00_a/data/lmb_v10_v2_1_0.tcl
...
...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_bram_if_cntlr_v1_00_b/data/lmb_bram_if
C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_bram_if_cntlr_v1_00_b/data/lmb_bram_if
_cntlr_v2_1_0.tcl ...
_cntlr_v2_1_0.tcl ...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_sysace_v1_00_c/data/opb_sysace_v2_1_0.
C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_sysace_v1_00_c/data/opb_sysace_v2_1_0.
tcl ...
tcl ...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/dcm_module_v1_00_a/data/dcm_module_v2_1_0.
C:/EDK/hw/XilinxProcessorIPLib/pcores/dcm_module_v1_00_a/data/dcm_module_v2_1_0.
tcl ...
tcl ...
Overriding IP level properties ...
Overriding IP level properties ...
microblaze (microblaze_0) -
microblaze (microblaze_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
mpd line 63 - tool overriding c_family value virtex2 to virtex2p
mpd line 63 - tool overriding c_family value virtex2 to virtex2p
microblaze (microblaze_0) -
microblaze (microblaze_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
mpd line 64 - tool overriding c_instance value microblaze to microblaze_0
mpd line 64 - tool overriding c_instance value microblaze to microblaze_0
microblaze (microblaze_0) -
microblaze (microblaze_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
mpd:93 - tcl overriding C_ADDR_TAG_BITS value 17 to 0
mpd:93 - tcl overriding C_ADDR_TAG_BITS value 17 to 0
microblaze (microblaze_0) -
microblaze (microblaze_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
mpd:100 - tcl overriding C_DCACHE_ADDR_TAG value 17 to 0
mpd:100 - tcl overriding C_DCACHE_ADDR_TAG value 17 to 0
opb_mdm (debug_module) -
opb_mdm (debug_module) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_mdm_v2_00_a\data\opb_mdm_v2_1_0.mpd
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_mdm_v2_00_a\data\opb_mdm_v2_1_0.mpd
line 42 - tool overriding c_family value virtex2 to virtex2p
line 42 - tool overriding c_family value virtex2 to virtex2p
bram_block (lmb_bram) -
bram_block (lmb_bram) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
mpd line 42 - tool overriding c_family value virtex2 to virtex2p
mpd line 42 - tool overriding c_family value virtex2 to virtex2p
opb_gpio (leds_4bit) -
opb_gpio (leds_4bit) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd
line 41 - tool overriding c_family value virtex2 to virtex2p
line 41 - tool overriding c_family value virtex2 to virtex2p
opb_gpio (dipsws_4bit) -
opb_gpio (dipsws_4bit) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd
line 41 - tool overriding c_family value virtex2 to virtex2p
line 41 - tool overriding c_family value virtex2 to virtex2p
opb_gpio (pushbuttons_5bit) -
opb_gpio (pushbuttons_5bit) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd
line 41 - tool overriding c_family value virtex2 to virtex2p
line 41 - tool overriding c_family value virtex2 to virtex2p
dcm_module (dcm_0) -
dcm_module (dcm_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\dcm_module_v1_00_a\data\dcm_module_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\dcm_module_v1_00_a\data\dcm_module_v2_1_0.
mpd line 60 - tool overriding c_family value virtex2 to virtex2p
mpd line 60 - tool overriding c_family value virtex2 to virtex2p
bram_block (data_bram_0) -
bram_block (data_bram_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
mpd line 42 - tool overriding c_family value virtex2 to virtex2p
mpd line 42 - tool overriding c_family value virtex2 to virtex2p
bram_block (data_bram_1) -
bram_block (data_bram_1) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
mpd line 42 - tool overriding c_family value virtex2 to virtex2p
mpd line 42 - tool overriding c_family value virtex2 to virtex2p
Performing IP level DRCs on properties...
Performing IP level DRCs on properties...
Running DRC Tcl procedures for OPTION IPLEVEL_DRC_PROC...
Running DRC Tcl procedures for OPTION IPLEVEL_DRC_PROC...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
2_1_0.tcl ...
2_1_0.tcl ...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
2_1_0.tcl ...
2_1_0.tcl ...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
2_1_0.tcl ...
2_1_0.tcl ...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
2_1_0.tcl ...
2_1_0.tcl ...
Address Map for Processor microblaze_0
Address Map for Processor microblaze_0
  (0x00000000-0x0000ffff) dlmb_cntlr    dlmb
  (0x00000000-0x0000ffff) dlmb_cntlr    dlmb
  (0x00000000-0x0000ffff) ilmb_cntlr    ilmb
  (0x00000000-0x0000ffff) ilmb_cntlr    ilmb
  (0x40000000-0x4000ffff) PushButtons_5Bit      mb_opb
  (0x40000000-0x4000ffff) PushButtons_5Bit      mb_opb
  (0x40020000-0x4002ffff) LEDs_4Bit     mb_opb
  (0x40020000-0x4002ffff) LEDs_4Bit     mb_opb
  (0x40040000-0x4004ffff) DIPSWs_4Bit   mb_opb
  (0x40040000-0x4004ffff) DIPSWs_4Bit   mb_opb
  (0x40600000-0x4060ffff) RS232_Uart_1  mb_opb
  (0x40600000-0x4060ffff) RS232_Uart_1  mb_opb
  (0x41400000-0x4140ffff) debug_module  mb_opb
  (0x41400000-0x4140ffff) debug_module  mb_opb
  (0x41800000-0x4180ffff) SysACE_CompactFlash   mb_opb
  (0x41800000-0x4180ffff) SysACE_CompactFlash   mb_opb
  (0x70000000-0x7000ffff) data_bram_if_cntlr_0  dlmb
  (0x70000000-0x7000ffff) data_bram_if_cntlr_0  dlmb
  (0x70010000-0x7001ffff) data_bram_if_cntlr_1  dlmb
  (0x70010000-0x7001ffff) data_bram_if_cntlr_1  dlmb
Check platform configuration ...
Check platform configuration ...
opb_v20 (mb_opb) - D:\mb-jpeg\system.mhs line 55 - 2 master(s) : 6 slave(s)
opb_v20 (mb_opb) - D:\mb-jpeg\system.mhs line 55 - 2 master(s) : 6 slave(s)
lmb_v10 (ilmb) - D:\mb-jpeg\system.mhs line 81 - 1 master(s) : 1 slave(s)
lmb_v10 (ilmb) - D:\mb-jpeg\system.mhs line 81 - 1 master(s) : 1 slave(s)
lmb_v10 (dlmb) - D:\mb-jpeg\system.mhs line 89 - 1 master(s) : 3 slave(s)
lmb_v10 (dlmb) - D:\mb-jpeg\system.mhs line 89 - 1 master(s) : 3 slave(s)
Check port drivers...
Check port drivers...
WARNING:MDT - dcm_0_lock (LOCKED) - D:\mb-jpeg\system.mhs line 208 - floating
WARNING:MDT - dcm_0_lock (LOCKED) - D:\mb-jpeg\system.mhs line 208 - floating
   connection!
   connection!
Check platform address map ...
Check platform address map ...
Overriding system level properties ...
Overriding system level properties ...
opb_v20 (mb_opb) -
opb_v20 (mb_opb) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_v20_v1_10_c\data\opb_v20_v2_1_0.mpd
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_v20_v1_10_c\data\opb_v20_v2_1_0.mpd
line 39 - tool overriding c_num_masters value 4 to 2
line 39 - tool overriding c_num_masters value 4 to 2
opb_v20 (mb_opb) -
opb_v20 (mb_opb) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_v20_v1_10_c\data\opb_v20_v2_1_0.mpd
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_v20_v1_10_c\data\opb_v20_v2_1_0.mpd
line 40 - tool overriding c_num_slaves value 4 to 6
line 40 - tool overriding c_num_slaves value 4 to 6
lmb_v10 (ilmb) -
lmb_v10 (ilmb) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_v10_v1_00_a\data\lmb_v10_v2_1_0.mpd
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_v10_v1_00_a\data\lmb_v10_v2_1_0.mpd
line 39 - tool overriding c_lmb_num_slaves value 4 to 1
line 39 - tool overriding c_lmb_num_slaves value 4 to 1
lmb_v10 (dlmb) -
lmb_v10 (dlmb) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_v10_v1_00_a\data\lmb_v10_v2_1_0.mpd
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_v10_v1_00_a\data\lmb_v10_v2_1_0.mpd
line 39 - tool overriding c_lmb_num_slaves value 4 to 3
line 39 - tool overriding c_lmb_num_slaves value 4 to 3
lmb_bram_if_cntlr (dlmb_cntlr) -
lmb_bram_if_cntlr (dlmb_cntlr) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_bram_if_cntlr_v1_00_b\data\lmb_bram_if
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_bram_if_cntlr_v1_00_b\data\lmb_bram_if
_cntlr_v2_1_0.mpd line 45 - tool overriding c_mask value 0x00800000 to
_cntlr_v2_1_0.mpd line 45 - tool overriding c_mask value 0x00800000 to
0x70c70000
0x70c70000
lmb_bram_if_cntlr (ilmb_cntlr) -
lmb_bram_if_cntlr (ilmb_cntlr) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_bram_if_cntlr_v1_00_b\data\lmb_bram_if
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_bram_if_cntlr_v1_00_b\data\lmb_bram_if
_cntlr_v2_1_0.mpd line 45 - tool overriding c_mask value 0x00800000 to
_cntlr_v2_1_0.mpd line 45 - tool overriding c_mask value 0x00800000 to
0x70c70000
0x70c70000
bram_block (lmb_bram) -
bram_block (lmb_bram) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
mpd line 38 - tool overriding c_memsize value 2048 to 0x10000
mpd line 38 - tool overriding c_memsize value 2048 to 0x10000
bram_block (data_bram_0) - D:\mb-jpeg\system.mhs line 214 - tool overriding
bram_block (data_bram_0) - D:\mb-jpeg\system.mhs line 214 - tool overriding
c_memsize value 16384 to 0x10000
c_memsize value 16384 to 0x10000
lmb_bram_if_cntlr (data_bram_if_cntlr_0) -
lmb_bram_if_cntlr (data_bram_if_cntlr_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_bram_if_cntlr_v1_00_b\data\lmb_bram_if
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_bram_if_cntlr_v1_00_b\data\lmb_bram_if
_cntlr_v2_1_0.mpd line 45 - tool overriding c_mask value 0x00800000 to
_cntlr_v2_1_0.mpd line 45 - tool overriding c_mask value 0x00800000 to
0x70c70000
0x70c70000
bram_block (data_bram_1) - D:\mb-jpeg\system.mhs line 230 - tool overriding
bram_block (data_bram_1) - D:\mb-jpeg\system.mhs line 230 - tool overriding
c_memsize value 16384 to 0x10000
c_memsize value 16384 to 0x10000
lmb_bram_if_cntlr (data_bram_if_cntlr_1) -
lmb_bram_if_cntlr (data_bram_if_cntlr_1) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_bram_if_cntlr_v1_00_b\data\lmb_bram_if
C:\EDK\hw\XilinxProcessorIPLib\pcores\lmb_bram_if_cntlr_v1_00_b\data\lmb_bram_if
_cntlr_v2_1_0.mpd line 45 - tool overriding c_mask value 0x00800000 to
_cntlr_v2_1_0.mpd line 45 - tool overriding c_mask value 0x00800000 to
0x70c70000
0x70c70000
Running DRC Tcl procedures for OPTION SYSLEVEL_DRC_PROC...
Running DRC Tcl procedures for OPTION SYSLEVEL_DRC_PROC...
Performing System level DRCs on properties...
Performing System level DRCs on properties...
Running UPDATE Tcl procedures for OPTION PLATGEN_SYSLEVEL_UPDATE_PROC...
Running UPDATE Tcl procedures for OPTION PLATGEN_SYSLEVEL_UPDATE_PROC...
Modify defaults ...
Modify defaults ...
Processing licensed instances ...
Processing licensed instances ...
Completion time: 0.00 seconds
Completion time: 0.00 seconds
Creating hardware output directories ...
Creating hardware output directories ...
Managing hardware (BBD-specified) netlist files ...
Managing hardware (BBD-specified) netlist files ...
Managing cache ...
Managing cache ...
Elaborating instances ...
Elaborating instances ...
bram_block (lmb_bram) - D:\mb-jpeg\system.mhs:115 - elaborating IP
bram_block (lmb_bram) - D:\mb-jpeg\system.mhs:115 - elaborating IP
bram_block (data_bram_0) - D:\mb-jpeg\system.mhs:211 - elaborating IP
bram_block (data_bram_0) - D:\mb-jpeg\system.mhs:211 - elaborating IP
bram_block (data_bram_1) - D:\mb-jpeg\system.mhs:227 - elaborating IP
bram_block (data_bram_1) - D:\mb-jpeg\system.mhs:227 - elaborating IP
Writing HDL for elaborated instances ...
Writing HDL for elaborated instances ...
Inserting wrapper level ...
Inserting wrapper level ...
Completion time: 3.00 seconds
Completion time: 3.00 seconds
Constructing platform-level signal connectivity ...
Constructing platform-level signal connectivity ...
Completion time: 3.00 seconds
Completion time: 3.00 seconds
Writing (top-level) BMM ...
Writing (top-level) BMM ...
Writing BMM - D:\mb-jpeg\implementation\system.bmm
Writing BMM - D:\mb-jpeg\implementation\system.bmm
Writing (top-level and wrappers) HDL ...
Writing (top-level and wrappers) HDL ...
Generating synthesis project file ...
Generating synthesis project file ...
Running XST synthesis ...
Running XST synthesis ...
INFO:MDT - The following instances are synthesized with XST. The MPD option
INFO:MDT - The following instances are synthesized with XST. The MPD option
   IMP_NETLIST=TRUE indicates that a NGC file is to be produced using XST
   IMP_NETLIST=TRUE indicates that a NGC file is to be produced using XST
   synthesis. IMP_NETLIST=FALSE (default) instances are not synthesized.
   synthesis. IMP_NETLIST=FALSE (default) instances are not synthesized.
microblaze_0_wrapper (microblaze_0) - D:\mb-jpeg\system.mhs:35 - Running XST
microblaze_0_wrapper (microblaze_0) - D:\mb-jpeg\system.mhs:35 - Running XST
synthesis
synthesis
mb_opb_wrapper (mb_opb) - D:\mb-jpeg\system.mhs:55 - Running XST synthesis
mb_opb_wrapper (mb_opb) - D:\mb-jpeg\system.mhs:55 - Running XST synthesis
debug_module_wrapper (debug_module) - D:\mb-jpeg\system.mhs:63 - Running XST
debug_module_wrapper (debug_module) - D:\mb-jpeg\system.mhs:63 - Running XST
synthesis
synthesis
ilmb_wrapper (ilmb) - D:\mb-jpeg\system.mhs:81 - Running XST synthesis
ilmb_wrapper (ilmb) - D:\mb-jpeg\system.mhs:81 - Running XST synthesis
dlmb_wrapper (dlmb) - D:\mb-jpeg\system.mhs:89 - Running XST synthesis
dlmb_wrapper (dlmb) - D:\mb-jpeg\system.mhs:89 - Running XST synthesis
dlmb_cntlr_wrapper (dlmb_cntlr) - D:\mb-jpeg\system.mhs:97 - Running XST
dlmb_cntlr_wrapper (dlmb_cntlr) - D:\mb-jpeg\system.mhs:97 - Running XST
synthesis
synthesis
ilmb_cntlr_wrapper (ilmb_cntlr) - D:\mb-jpeg\system.mhs:106 - Running XST
ilmb_cntlr_wrapper (ilmb_cntlr) - D:\mb-jpeg\system.mhs:106 - Running XST
synthesis
synthesis
lmb_bram_wrapper (lmb_bram) - D:\mb-jpeg\system.mhs:115 - Running XST synthesis
lmb_bram_wrapper (lmb_bram) - D:\mb-jpeg\system.mhs:115 - Running XST synthesis
rs232_uart_1_wrapper (rs232_uart_1) - D:\mb-jpeg\system.mhs:122 - Running XST
rs232_uart_1_wrapper (rs232_uart_1) - D:\mb-jpeg\system.mhs:122 - Running XST
synthesis
synthesis
sysace_compactflash_wrapper (sysace_compactflash) - D:\mb-jpeg\system.mhs:138 -
sysace_compactflash_wrapper (sysace_compactflash) - D:\mb-jpeg\system.mhs:138 -
Running XST synthesis
Running XST synthesis
leds_4bit_wrapper (leds_4bit) - D:\mb-jpeg\system.mhs:155 - Running XST
leds_4bit_wrapper (leds_4bit) - D:\mb-jpeg\system.mhs:155 - Running XST
synthesis
synthesis
dipsws_4bit_wrapper (dipsws_4bit) - D:\mb-jpeg\system.mhs:169 - Running XST
dipsws_4bit_wrapper (dipsws_4bit) - D:\mb-jpeg\system.mhs:169 - Running XST
synthesis
synthesis
pushbuttons_5bit_wrapper (pushbuttons_5bit) - D:\mb-jpeg\system.mhs:183 -
pushbuttons_5bit_wrapper (pushbuttons_5bit) - D:\mb-jpeg\system.mhs:183 -
Running XST synthesis
Running XST synthesis
dcm_0_wrapper (dcm_0) - D:\mb-jpeg\system.mhs:197 - Running XST synthesis
dcm_0_wrapper (dcm_0) - D:\mb-jpeg\system.mhs:197 - Running XST synthesis
data_bram_0_wrapper (data_bram_0) - D:\mb-jpeg\system.mhs:211 - Running XST
data_bram_0_wrapper (data_bram_0) - D:\mb-jpeg\system.mhs:211 - Running XST
synthesis
synthesis
data_bram_if_cntlr_0_wrapper (data_bram_if_cntlr_0) - D:\mb-jpeg\system.mhs:218
data_bram_if_cntlr_0_wrapper (data_bram_if_cntlr_0) - D:\mb-jpeg\system.mhs:218
- Running XST synthesis
- Running XST synthesis
data_bram_1_wrapper (data_bram_1) - D:\mb-jpeg\system.mhs:227 - Running XST
data_bram_1_wrapper (data_bram_1) - D:\mb-jpeg\system.mhs:227 - Running XST
synthesis
synthesis
data_bram_if_cntlr_1_wrapper (data_bram_if_cntlr_1) - D:\mb-jpeg\system.mhs:234
data_bram_if_cntlr_1_wrapper (data_bram_if_cntlr_1) - D:\mb-jpeg\system.mhs:234
- Running XST synthesis
- Running XST synthesis
Running NGCBUILD ...
Running NGCBUILD ...
Rebuilding cache ...
Rebuilding cache ...
Total run time: 368.00 seconds
Total run time: 368.00 seconds
Running synthesis...
Running synthesis...
bash -c "cd synthesis; ./synthesis.sh"
bash -c "cd synthesis; ./synthesis.sh"
WARNING:Xst:1530 - You are using an evaluation version of Xilinx Software. In 47
WARNING:Xst:1530 - You are using an evaluation version of Xilinx Software. In 47
   days, this program will not operate. For more information about this product,
   days, this program will not operate. For more information about this product,
   please refer to the Evaluation Agreement, which was shipped to you along with
   please refer to the Evaluation Agreement, which was shipped to you along with
   the Evaluation CDs.
   the Evaluation CDs.
   To purchase an annual license for this software, please contact your local
   To purchase an annual license for this software, please contact your local
   Field Applications Engineer (FAE) or salesperson. If you have any questions,
   Field Applications Engineer (FAE) or salesperson. If you have any questions,
   or if we can assist in any way, please send an email to: eval@xilinx.com
   or if we can assist in any way, please send an email to: eval@xilinx.com
   Thank You!
   Thank You!
Release 8.1i - xst I.24
Release 8.1i - xst I.24
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
-->
-->
TABLE OF CONTENTS
TABLE OF CONTENTS
  1) Synthesis Options Summary
  1) Synthesis Options Summary
  2) HDL Compilation
  2) HDL Compilation
  3) HDL Analysis
  3) HDL Analysis
  4) HDL Synthesis
  4) HDL Synthesis
     4.1) HDL Synthesis Report
     4.1) HDL Synthesis Report
  5) Advanced HDL Synthesis
  5) Advanced HDL Synthesis
     5.1) Advanced HDL Synthesis Report
     5.1) Advanced HDL Synthesis Report
  6) Low Level Synthesis
  6) Low Level Synthesis
  7) Final Report
  7) Final Report
     7.1) Device utilization summary
     7.1) Device utilization summary
     7.2) TIMING REPORT
     7.2) TIMING REPORT
=========================================================================
=========================================================================
*                      Synthesis Options Summary                        *
*                      Synthesis Options Summary                        *
=========================================================================
=========================================================================
---- Source Parameters
---- Source Parameters
Input Format                       : MIXED
Input Format                       : MIXED
Input File Name                    : "system_xst.prj"
Input File Name                    : "system_xst.prj"
---- Target Parameters
---- Target Parameters
Target Device                      : xc2vp30ff896-7
Target Device                      : xc2vp30ff896-7
Output File Name                   : "../implementation/system.ngc"
Output File Name                   : "../implementation/system.ngc"
---- Source Options
---- Source Options
Top Module Name                    : system
Top Module Name                    : system
---- Target Options
---- Target Options
Add IO Buffers                     : NO
Add IO Buffers                     : NO
---- General Options
---- General Options
Optimization Goal                  : speed
Optimization Goal                  : speed
Hierarchy Separator                : /
Hierarchy Separator                : /
=========================================================================
=========================================================================
WARNING:Xst:29 - Optimization Effort not specified
WARNING:Xst:29 - Optimization Effort not specified
The following parameters have been added:
The following parameters have been added:
Optimization Effort                : 1
Optimization Effort                : 1
=========================================================================
=========================================================================
=========================================================================
=========================================================================
*                          HDL Compilation                              *
*                          HDL Compilation                              *
=========================================================================
=========================================================================
Compiling vhdl file "D:/mb-jpeg/hdl/system.vhd" in Library work.
Compiling vhdl file "D:/mb-jpeg/hdl/system.vhd" in Library work.
Entity  compiled.
Entity  compiled.
Entity  (Architecture ) compiled.
Entity  (Architecture ) compiled.
=========================================================================
=========================================================================
*                            HDL Analysis                               *
*                            HDL Analysis                               *
=========================================================================
=========================================================================
Analyzing Entity  (Architecture ).
Analyzing Entity  (Architecture ).
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1608: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1608: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1614: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1614: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1620: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1620: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1626: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1626: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1632: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1632: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1638: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1638: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1644: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1644: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1650: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1650: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1656: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1656: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1662: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1662: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1668: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1668: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1676: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1676: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1684: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1684: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1692: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1692: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1700: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1700: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1708: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1708: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1716: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1716: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1724: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1724: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1732: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1732: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1740: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1740: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1748: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1748: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1756: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1756: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1764: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1764: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1772: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1772: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1780: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1780: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1788: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1788: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1796: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1796: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1802: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1802: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1808: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1808: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1814: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1814: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1820: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1820: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1828: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1828: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1836: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1836: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1844: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1844: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1852: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1852: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1860: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1860: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1868: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1868: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1876: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1876: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1884: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1884: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1892: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1892: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1900: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1900: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1908: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1908: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1916: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1916: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1924: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1924: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1930: Generating a Black Box for component .
WARNING:Xst:766 - "D:/mb-jpeg/hdl/system.vhd" line 1930: Generating a Black Box for component .
Entity  analyzed. Unit  generated.
Entity  analyzed. Unit  generated.
=========================================================================
=========================================================================
*                           HDL Synthesis                               *
*                           HDL Synthesis                               *
=========================================================================
=========================================================================
Synthesizing Unit .
Synthesizing Unit .
    Related source file is "D:/mb-jpeg/hdl/system.vhd".
    Related source file is "D:/mb-jpeg/hdl/system.vhd".
Unit  synthesized.
Unit  synthesized.
=========================================================================
=========================================================================
HDL Synthesis Report
HDL Synthesis Report
Found no macro
Found no macro
=========================================================================
=========================================================================
=========================================================================
=========================================================================
*                       Advanced HDL Synthesis                          *
*                       Advanced HDL Synthesis                          *
=========================================================================
=========================================================================
=========================================================================
=========================================================================
Advanced HDL Synthesis Report
Advanced HDL Synthesis Report
Found no macro
Found no macro
=========================================================================
=========================================================================
=========================================================================
=========================================================================
*                         Low Level Synthesis                           *
*                         Low Level Synthesis                           *
=========================================================================
=========================================================================
Loading device for application Rf_Device from file '2vp30.nph' in environment c:\ISE.
Loading device for application Rf_Device from file '2vp30.nph' in environment c:\ISE.
Optimizing unit  ...
Optimizing unit  ...
Mapping all equations...
Mapping all equations...
Building and optimizing final netlist ...
Building and optimizing final netlist ...
=========================================================================
=========================================================================
*                            Final Report                               *
*                            Final Report                               *
=========================================================================
=========================================================================
Final Results
Final Results
Top Level Output File Name         : ../implementation/system.ngc
Top Level Output File Name         : ../implementation/system.ngc
Output Format                      : ngc
Output Format                      : ngc
Optimization Goal                  : speed
Optimization Goal                  : speed
Keep Hierarchy                     : no
Keep Hierarchy                     : no
Design Statistics
Design Statistics
# IOs                              : 45
# IOs                              : 45
Cell Usage :
Cell Usage :
# BELS                             : 2
# BELS                             : 2
#      GND                         : 1
#      GND                         : 1
#      VCC                         : 1
#      VCC                         : 1
# IO Buffers                       : 45
# IO Buffers                       : 45
#      IBUF                        : 4
#      IBUF                        : 4
#      IBUFG                       : 1
#      IBUFG                       : 1
#      IOBUF                       : 29
#      IOBUF                       : 29
#      OBUF                        : 11
#      OBUF                        : 11
# Others                           : 18
# Others                           : 18
#      data_bram_0_wrapper         : 1
#      data_bram_0_wrapper         : 1
#      data_bram_1_wrapper         : 1
#      data_bram_1_wrapper         : 1
#      data_bram_if_cntlr_0_wrapper: 1
#      data_bram_if_cntlr_0_wrapper: 1
#      data_bram_if_cntlr_1_wrapper: 1
#      data_bram_if_cntlr_1_wrapper: 1
#      dcm_0_wrapper               : 1
#      dcm_0_wrapper               : 1
#      debug_module_wrapper        : 1
#      debug_module_wrapper        : 1
#      dipsws_4bit_wrapper         : 1
#      dipsws_4bit_wrapper         : 1
#      dlmb_cntlr_wrapper          : 1
#      dlmb_cntlr_wrapper          : 1
#      dlmb_wrapper                : 1
#      dlmb_wrapper                : 1
#      ilmb_cntlr_wrapper          : 1
#      ilmb_cntlr_wrapper          : 1
#      ilmb_wrapper                : 1
#      ilmb_wrapper                : 1
#      leds_4bit_wrapper           : 1
#      leds_4bit_wrapper           : 1
#      lmb_bram_wrapper            : 1
#      lmb_bram_wrapper            : 1
#      mb_opb_wrapper              : 1
#      mb_opb_wrapper              : 1
#      microblaze_0_wrapper        : 1
#      microblaze_0_wrapper        : 1
#      pushbuttons_5bit_wrapper    : 1
#      pushbuttons_5bit_wrapper    : 1
#      rs232_uart_1_wrapper        : 1
#      rs232_uart_1_wrapper        : 1
#      sysace_compactflash_wrapper : 1
#      sysace_compactflash_wrapper : 1
=========================================================================
=========================================================================
Device utilization summary:
Device utilization summary:
---------------------------
---------------------------
Selected Device : 2vp30ff896-7
Selected Device : 2vp30ff896-7
 Number of bonded IOBs:                 45  out of    556     8%
 Number of bonded IOBs:                 45  out of    556     8%
=========================================================================
=========================================================================
TIMING REPORT
TIMING REPORT
NOTE: THESE TIMING NUMBERS ARE ONLY A SYNTHESIS ESTIMATE.
NOTE: THESE TIMING NUMBERS ARE ONLY A SYNTHESIS ESTIMATE.
      FOR ACCURATE TIMING INFORMATION PLEASE REFER TO THE TRACE REPORT
      FOR ACCURATE TIMING INFORMATION PLEASE REFER TO THE TRACE REPORT
      GENERATED AFTER PLACE-and-ROUTE.
      GENERATED AFTER PLACE-and-ROUTE.
Clock Information:
Clock Information:
------------------
------------------
No clock signals found in this design
No clock signals found in this design
Timing Summary:
Timing Summary:
---------------
---------------
Speed Grade: -7
Speed Grade: -7
   Minimum period: No path found
   Minimum period: No path found
   Minimum input arrival time before clock: No path found
   Minimum input arrival time before clock: No path found
   Maximum output required time after clock: No path found
   Maximum output required time after clock: No path found
   Maximum combinational path delay: 2.924ns
   Maximum combinational path delay: 2.924ns
Timing Detail:
Timing Detail:
--------------
--------------
All values displayed in nanoseconds (ns)
All values displayed in nanoseconds (ns)
=========================================================================
=========================================================================
Timing constraint: Default path analysis
Timing constraint: Default path analysis
  Total number of paths / destination ports: 2006 / 1977
  Total number of paths / destination ports: 2006 / 1977
-------------------------------------------------------------------------
-------------------------------------------------------------------------
Delay:               2.924ns (Levels of Logic = 1)
Delay:               2.924ns (Levels of Logic = 1)
  Source:            sysace_compactflash:SysACE_MPD_O<3> (PAD)
  Source:            sysace_compactflash:SysACE_MPD_O<3> (PAD)
  Destination:       fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<3> (PAD)
  Destination:       fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<3> (PAD)
  Data Path: sysace_compactflash:SysACE_MPD_O<3> to fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<3>
  Data Path: sysace_compactflash:SysACE_MPD_O<3> to fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<3>
                                Gate     Net
                                Gate     Net
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
    ----------------------------------------  ------------
    ----------------------------------------  ------------
    sysace_compactflash_wrapper:SysACE_MPD_O<3>    1   0.000   0.332  sysace_compactflash (fpga_0_SysACE_CompactFlash_SysACE_MPD_O<3>)
    sysace_compactflash_wrapper:SysACE_MPD_O<3>    1   0.000   0.332  sysace_compactflash (fpga_0_SysACE_CompactFlash_SysACE_MPD_O<3>)
     IOBUF:I->IO               2.592          iobuf_22 (fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<3>)
     IOBUF:I->IO               2.592          iobuf_22 (fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<3>)
    ----------------------------------------
    ----------------------------------------
    Total                      2.924ns (2.592ns logic, 0.332ns route)
    Total                      2.924ns (2.592ns logic, 0.332ns route)
                                       (88.7% logic, 11.3% route)
                                       (88.7% logic, 11.3% route)
=========================================================================
=========================================================================
CPU : 10.25 / 10.31 s | Elapsed : 11.00 / 11.00 s
CPU : 10.25 / 10.31 s | Elapsed : 11.00 / 11.00 s
-->
-->
Total memory usage is 169492 kilobytes
Total memory usage is 169492 kilobytes
Number of errors   :    0 (   0 filtered)
Number of errors   :    0 (   0 filtered)
Number of warnings :   47 (   0 filtered)
Number of warnings :   47 (   0 filtered)
Number of infos    :    0 (   0 filtered)
Number of infos    :    0 (   0 filtered)
*********************************************
*********************************************
Running Xilinx Implementation tools..
Running Xilinx Implementation tools..
*********************************************
*********************************************
xflow -wd implementation -p xc2vp30ff896-7 -implement xflow.opt system.ngc
xflow -wd implementation -p xc2vp30ff896-7 -implement xflow.opt system.ngc
Release 8.1i - Xflow I.24
Release 8.1i - Xflow I.24
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
xflow.exe -wd implementation -p xc2vp30ff896-7 -implement xflow.opt system.ngc
xflow.exe -wd implementation -p xc2vp30ff896-7 -implement xflow.opt system.ngc
.... Copying flowfile c:/ISE/xilinx/data/fpga.flw into working directory
.... Copying flowfile c:/ISE/xilinx/data/fpga.flw into working directory
D:/mb-jpeg/implementation
D:/mb-jpeg/implementation
Using Flow File: D:/mb-jpeg/implementation/fpga.flw
Using Flow File: D:/mb-jpeg/implementation/fpga.flw
Using Option File(s):
Using Option File(s):
 D:/mb-jpeg/implementation/xflow.opt
 D:/mb-jpeg/implementation/xflow.opt
Creating Script File ...
Creating Script File ...
#----------------------------------------------#
#----------------------------------------------#
# Starting program ngdbuild
# Starting program ngdbuild
# ngdbuild -p xc2vp30ff896-7 -nt timestamp -bm system.bmm
# ngdbuild -p xc2vp30ff896-7 -nt timestamp -bm system.bmm
D:/mb-jpeg/implementation/system.ngc -uc system.ucf system.ngd
D:/mb-jpeg/implementation/system.ngc -uc system.ucf system.ngd
#----------------------------------------------#
#----------------------------------------------#
Release 8.1i - ngdbuild I.24
Release 8.1i - ngdbuild I.24
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
Command Line: ngdbuild -p xc2vp30ff896-7 -nt timestamp -bm system.bmm -uc
Command Line: ngdbuild -p xc2vp30ff896-7 -nt timestamp -bm system.bmm -uc
system.ucf D:/mb-jpeg/implementation/system.ngc system.ngd
system.ucf D:/mb-jpeg/implementation/system.ngc system.ngd
Reading NGO file 'D:/mb-jpeg/implementation/system.ngc' ...
Reading NGO file 'D:/mb-jpeg/implementation/system.ngc' ...
Loading design module "D:/mb-jpeg/implementation/microblaze_0_wrapper.ngc"...
Loading design module "D:/mb-jpeg/implementation/microblaze_0_wrapper.ngc"...
Loading design module "D:/mb-jpeg/implementation/mb_opb_wrapper.ngc"...
Loading design module "D:/mb-jpeg/implementation/mb_opb_wrapper.ngc"...
Loading design module "D:/mb-jpeg/implementation/debug_module_wrapper.ngc"...
Loading design module "D:/mb-jpeg/implementation/debug_module_wrapper.ngc"...
Loading design module "D:/mb-jpeg/implementation/ilmb_wrapper.ngc"...
Loading design module "D:/mb-jpeg/implementation/ilmb_wrapper.ngc"...
Loading design module "D:/mb-jpeg/implementation/dlmb_wrapper.ngc"...
Loading design module "D:/mb-jpeg/implementation/dlmb_wrapper.ngc"...
Loading design module "D:/mb-jpeg/implementation/dlmb_cntlr_wrapper.ngc"...
Loading design module "D:/mb-jpeg/implementation/dlmb_cntlr_wrapper.ngc"...
Loading design module "D:/mb-jpeg/implementation/ilmb_cntlr_wrapper.ngc"...
Loading design module "D:/mb-jpeg/implementation/ilmb_cntlr_wrapper.ngc"...
Loading design module "D:/mb-jpeg/implementation/lmb_bram_wrapper.ngc"...
Loading design module "D:/mb-jpeg/implementation/lmb_bram_wrapper.ngc"...
Loading design module "D:/mb-jpeg/implementation/rs232_uart_1_wrapper.ngc"...
Loading design module "D:/mb-jpeg/implementation/rs232_uart_1_wrapper.ngc"...
Loading design module
Loading design module
"D:/mb-jpeg/implementation/sysace_compactflash_wrapper.ngc"...
"D:/mb-jpeg/implementation/sysace_compactflash_wrapper.ngc"...
Loading design module "D:/mb-jpeg/implementation/leds_4bit_wrapper.ngc"...
Loading design module "D:/mb-jpeg/implementation/leds_4bit_wrapper.ngc"...
Loading design module "D:/mb-jpeg/implementation/dipsws_4bit_wrapper.ngc"...
Loading design module "D:/mb-jpeg/implementation/dipsws_4bit_wrapper.ngc"...
Loading design module
Loading design module
"D:/mb-jpeg/implementation/pushbuttons_5bit_wrapper.ngc"...
"D:/mb-jpeg/implementation/pushbuttons_5bit_wrapper.ngc"...
Loading design module "D:/mb-jpeg/implementation/dcm_0_wrapper.ngc"...
Loading design module "D:/mb-jpeg/implementation/dcm_0_wrapper.ngc"...
Loading design module "D:/mb-jpeg/implementation/data_bram_0_wrapper.ngc"...
Loading design module "D:/mb-jpeg/implementation/data_bram_0_wrapper.ngc"...
Loading design module
Loading design module
"D:/mb-jpeg/implementation/data_bram_if_cntlr_0_wrapper.ngc"...
"D:/mb-jpeg/implementation/data_bram_if_cntlr_0_wrapper.ngc"...
Loading design module "D:/mb-jpeg/implementation/data_bram_1_wrapper.ngc"...
Loading design module "D:/mb-jpeg/implementation/data_bram_1_wrapper.ngc"...
Loading design module
Loading design module
"D:/mb-jpeg/implementation/data_bram_if_cntlr_1_wrapper.ngc"...
"D:/mb-jpeg/implementation/data_bram_if_cntlr_1_wrapper.ngc"...
Applying constraints in "system.ucf" to the design...
Applying constraints in "system.ucf" to the design...
Checking timing specifications ...
Checking timing specifications ...
INFO:XdmHelpers:851 - TNM "sys_clk_pin", used in period specification
INFO:XdmHelpers:851 - TNM "sys_clk_pin", used in period specification
   "TS_sys_clk_pin", was traced into DCM instance "dcm_0/dcm_0/DCM_INST". The
   "TS_sys_clk_pin", was traced into DCM instance "dcm_0/dcm_0/DCM_INST". The
   following new TNM groups and period specifications were generated at the DCM
   following new TNM groups and period specifications were generated at the DCM
   output(s):
   output(s):
   CLK0: TS_dcm_0_dcm_0_CLK0_BUF=PERIOD dcm_0_dcm_0_CLK0_BUF
   CLK0: TS_dcm_0_dcm_0_CLK0_BUF=PERIOD dcm_0_dcm_0_CLK0_BUF
TS_sys_clk_pin*1.000000 HIGH 50.000000%
TS_sys_clk_pin*1.000000 HIGH 50.000000%
Processing BMM file ...
Processing BMM file ...
Checking expanded design ...
Checking expanded design ...
WARNING:NgdBuild:452 - logical net
WARNING:NgdBuild:452 - logical net
   'microblaze_0/microblaze_0/Data_Flow_I/word_r1_r2_unalignment' has no driver
   'microblaze_0/microblaze_0/Data_Flow_I/word_r1_r2_unalignment' has no driver
WARNING:NgdBuild:452 - logical net
WARNING:NgdBuild:452 - logical net
   'microblaze_0/microblaze_0/Data_Flow_I/word_r1_imm_unalignment' has no driver
   'microblaze_0/microblaze_0/Data_Flow_I/word_r1_imm_unalignment' has no driver
WARNING:NgdBuild:452 - logical net
WARNING:NgdBuild:452 - logical net
   'microblaze_0/microblaze_0/Data_Flow_I/halfword_unalignment' has no driver
   'microblaze_0/microblaze_0/Data_Flow_I/halfword_unalignment' has no driver
WARNING:NgdBuild:478 - clock net debug_module/bscan_drck1 with clock driver
WARNING:NgdBuild:478 - clock net debug_module/bscan_drck1 with clock driver
   debug_module/debug_module/BUFG_DRCK1 drives no clock pins
   debug_module/debug_module/BUFG_DRCK1 drives no clock pins
NGDBUILD Design Results Summary:
NGDBUILD Design Results Summary:
  Number of errors:     0
  Number of errors:     0
  Number of warnings:   4
  Number of warnings:   4
Writing NGD file "system.ngd" ...
Writing NGD file "system.ngd" ...
Writing NGDBUILD log file "system.bld"...
Writing NGDBUILD log file "system.bld"...
NGDBUILD done.
NGDBUILD done.
#----------------------------------------------#
#----------------------------------------------#
# Starting program map
# Starting program map
# map -o system_map.ncd -pr b system.ngd system.pcf
# map -o system_map.ncd -pr b system.ngd system.pcf
#----------------------------------------------#
#----------------------------------------------#
Release 8.1i - Map I.24
Release 8.1i - Map I.24
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
Using target part "2vp30ff896-7".
Using target part "2vp30ff896-7".
Mapping design into LUTs...
Mapping design into LUTs...
Writing file system_map.ngm...
Writing file system_map.ngm...
Running directed packing...
Running directed packing...
Running delay-based LUT packing...
Running delay-based LUT packing...
Running related packing...
Running related packing...
Writing design file "system_map.ncd"...
Writing design file "system_map.ncd"...
Design Summary:
Design Summary:
Number of errors:      0
Number of errors:      0
Number of warnings:    6
Number of warnings:    6
Logic Utilization:
Logic Utilization:
  Number of Slice Flip Flops:       1,183 out of  27,392    4%
  Number of Slice Flip Flops:       1,183 out of  27,392    4%
  Number of 4 input LUTs:           1,489 out of  27,392    5%
  Number of 4 input LUTs:           1,489 out of  27,392    5%
Logic Distribution:
Logic Distribution:
  Number of occupied Slices:        1,308 out of  13,696    9%
  Number of occupied Slices:        1,308 out of  13,696    9%
  Number of Slices containing only related logic:   1,308 out of   1,308  100%
  Number of Slices containing only related logic:   1,308 out of   1,308  100%
  Number of Slices containing unrelated logic:          0 out of   1,308    0%
  Number of Slices containing unrelated logic:          0 out of   1,308    0%
        *See NOTES below for an explanation of the effects of unrelated logic
        *See NOTES below for an explanation of the effects of unrelated logic
Total Number 4 input LUTs:          1,914 out of  27,392    6%
Total Number 4 input LUTs:          1,914 out of  27,392    6%
  Number used as logic:             1,489
  Number used as logic:             1,489
  Number used as a route-thru:          7
  Number used as a route-thru:          7
  Number used for Dual Port RAMs:     256
  Number used for Dual Port RAMs:     256
    (Two LUTs used per Dual Port RAM)
    (Two LUTs used per Dual Port RAM)
  Number used as Shift registers:     162
  Number used as Shift registers:     162
  Number of bonded IOBs:               44 out of     556    7%
  Number of bonded IOBs:               44 out of     556    7%
    IOB Flip Flops:                    73
    IOB Flip Flops:                    73
  Number of PPC405s:                   0 out of       2    0%
  Number of PPC405s:                   0 out of       2    0%
  Number of Block RAMs:                96 out of     136   70%
  Number of Block RAMs:                96 out of     136   70%
  Number of MULT18X18s:                 3 out of     136    2%
  Number of MULT18X18s:                 3 out of     136    2%
  Number of GCLKs:                      2 out of      16   12%
  Number of GCLKs:                      2 out of      16   12%
  Number of DCMs:                       1 out of       8   12%
  Number of DCMs:                       1 out of       8   12%
  Number of BSCANs:                     1 out of       1  100%
  Number of BSCANs:                     1 out of       1  100%
  Number of GTs:                        0 out of       8    0%
  Number of GTs:                        0 out of       8    0%
  Number of GT10s:                      0 out of       0    0%
  Number of GT10s:                      0 out of       0    0%
   Number of RPM macros:            5
   Number of RPM macros:            5
Total equivalent gate count for design:  6,374,519
Total equivalent gate count for design:  6,374,519
Additional JTAG gate count for IOBs:  2,112
Additional JTAG gate count for IOBs:  2,112
Peak Memory Usage:  225 MB
Peak Memory Usage:  225 MB
NOTES:
NOTES:
   Related logic is defined as being logic that shares connectivity - e.g. two
   Related logic is defined as being logic that shares connectivity - e.g. two
   LUTs are "related" if they share common inputs.  When assembling slices,
   LUTs are "related" if they share common inputs.  When assembling slices,
   Map gives priority to combine logic that is related.  Doing so results in
   Map gives priority to combine logic that is related.  Doing so results in
   the best timing performance.
   the best timing performance.
   Unrelated logic shares no connectivity.  Map will only begin packing
   Unrelated logic shares no connectivity.  Map will only begin packing
   unrelated logic into a slice once 99% of the slices are occupied through
   unrelated logic into a slice once 99% of the slices are occupied through
   related logic packing.
   related logic packing.
   Note that once logic distribution reaches the 99% level through related
   Note that once logic distribution reaches the 99% level through related
   logic packing, this does not mean the device is completely utilized.
   logic packing, this does not mean the device is completely utilized.
   Unrelated logic packing will then begin, continuing until all usable LUTs
   Unrelated logic packing will then begin, continuing until all usable LUTs
   and FFs are occupied.  Depending on your timing budget, increased levels of
   and FFs are occupied.  Depending on your timing budget, increased levels of
   unrelated logic packing may adversely affect the overall timing performance
   unrelated logic packing may adversely affect the overall timing performance
   of your design.
   of your design.
Mapping completed.
Mapping completed.
See MAP report file "system_map.mrp" for details.
See MAP report file "system_map.mrp" for details.
#----------------------------------------------#
#----------------------------------------------#
# Starting program par
# Starting program par
# par -w -ol high system_map.ncd system.ncd system.pcf
# par -w -ol high system_map.ncd system.ncd system.pcf
#----------------------------------------------#
#----------------------------------------------#
Release 8.1i - par I.24
Release 8.1i - par I.24
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
Constraints file: system.pcf.
Constraints file: system.pcf.
WARNING:Par:331 - You are using an evaluation version of Xilinx Software. In 47 days, this program will not operate. For
WARNING:Par:331 - You are using an evaluation version of Xilinx Software. In 47 days, this program will not operate. For
   more information about this product, please refer to the Evaluation Agreement, which was shipped to you along with
   more information about this product, please refer to the Evaluation Agreement, which was shipped to you along with
   the Evaluation CDs.
   the Evaluation CDs.
   To purchase an annual license for this software, please contact your local Field Applications Engineer (FAE) or
   To purchase an annual license for this software, please contact your local Field Applications Engineer (FAE) or
   salesperson. If you have any questions, or if we can assist in any way, please send an email to: eval@xilinx.com
   salesperson. If you have any questions, or if we can assist in any way, please send an email to: eval@xilinx.com
   Thank You!
   Thank You!
Loading device for application Rf_Device from file '2vp30.nph' in environment c:\ISE.
Loading device for application Rf_Device from file '2vp30.nph' in environment c:\ISE.
   "system" is an NCD, version 3.1, device xc2vp30, package ff896, speed -7
   "system" is an NCD, version 3.1, device xc2vp30, package ff896, speed -7
Initializing temperature to 85.000 Celsius. (default - Range: -40.000 to 100.000 Celsius)
Initializing temperature to 85.000 Celsius. (default - Range: -40.000 to 100.000 Celsius)
Initializing voltage to 1.400 Volts. (default - Range: 1.400 to 1.600 Volts)
Initializing voltage to 1.400 Volts. (default - Range: 1.400 to 1.600 Volts)
Device speed data version:  "PRODUCTION 1.92 2005-11-04".
Device speed data version:  "PRODUCTION 1.92 2005-11-04".
Device Utilization Summary:
Device Utilization Summary:
   Number of BSCANs                    1 out of 1     100%
   Number of BSCANs                    1 out of 1     100%
   Number of BUFGMUXs                  2 out of 16     12%
   Number of BUFGMUXs                  2 out of 16     12%
   Number of DCMs                      1 out of 8      12%
   Number of DCMs                      1 out of 8      12%
   Number of External IOBs            44 out of 556     7%
   Number of External IOBs            44 out of 556     7%
      Number of LOCed IOBs            44 out of 44    100%
      Number of LOCed IOBs            44 out of 44    100%
   Number of MULT18X18s                3 out of 136     2%
   Number of MULT18X18s                3 out of 136     2%
   Number of RAMB16s                  96 out of 136    70%
   Number of RAMB16s                  96 out of 136    70%
   Number of SLICEs                 1308 out of 13696   9%
   Number of SLICEs                 1308 out of 13696   9%
Overall effort level (-ol):   High
Overall effort level (-ol):   High
Placer effort level (-pl):    High
Placer effort level (-pl):    High
Placer cost table entry (-t): 1
Placer cost table entry (-t): 1
Router effort level (-rl):    High
Router effort level (-rl):    High
Starting initial Timing Analysis.  REAL time: 8 secs
Starting initial Timing Analysis.  REAL time: 8 secs
Finished initial Timing Analysis.  REAL time: 9 secs
Finished initial Timing Analysis.  REAL time: 9 secs
Starting Placer
Starting Placer
Phase 1.1
Phase 1.1
Phase 1.1 (Checksum:98d36f) REAL time: 12 secs
Phase 1.1 (Checksum:98d36f) REAL time: 12 secs
Phase 2.31
Phase 2.31
Phase 2.31 (Checksum:1312cfe) REAL time: 12 secs
Phase 2.31 (Checksum:1312cfe) REAL time: 12 secs
WARNING:Place:414 - The input design contains local clock signal(s). To get a better result, we recommend users run map
WARNING:Place:414 - The input design contains local clock signal(s). To get a better result, we recommend users run map
   with the "-timing" option set before starting the placement.
   with the "-timing" option set before starting the placement.
Phase 3.2
Phase 3.2
.
.
Phase 3.2 (Checksum:1c9c37d) REAL time: 18 secs
Phase 3.2 (Checksum:1c9c37d) REAL time: 18 secs
Phase 4.30
Phase 4.30
Phase 4.30 (Checksum:26259fc) REAL time: 18 secs
Phase 4.30 (Checksum:26259fc) REAL time: 18 secs
Phase 5.3
Phase 5.3
Phase 5.3 (Checksum:2faf07b) REAL time: 18 secs
Phase 5.3 (Checksum:2faf07b) REAL time: 18 secs
Phase 6.5
Phase 6.5
Phase 6.5 (Checksum:39386fa) REAL time: 18 secs
Phase 6.5 (Checksum:39386fa) REAL time: 18 secs
Phase 7.8
Phase 7.8
.........
.........
...............................................
...............................................
........
........
........................................................
........................................................
........
........
........
........
.....................
.....................
.........
.........
Phase 7.8 (Checksum:12a9340) REAL time: 36 secs
Phase 7.8 (Checksum:12a9340) REAL time: 36 secs
Phase 8.5
Phase 8.5
Phase 8.5 (Checksum:4c4b3f8) REAL time: 37 secs
Phase 8.5 (Checksum:4c4b3f8) REAL time: 37 secs
Phase 9.18
Phase 9.18
Phase 9.18 (Checksum:55d4a77) REAL time: 44 secs
Phase 9.18 (Checksum:55d4a77) REAL time: 44 secs
Phase 10.5
Phase 10.5
Phase 10.5 (Checksum:5f5e0f6) REAL time: 44 secs
Phase 10.5 (Checksum:5f5e0f6) REAL time: 44 secs
Phase 11.27
Phase 11.27
Phase 11.27 (Checksum:68e7775) REAL time: 45 secs
Phase 11.27 (Checksum:68e7775) REAL time: 45 secs
Phase 12.24
Phase 12.24
Phase 12.24 (Checksum:7270df4) REAL time: 45 secs
Phase 12.24 (Checksum:7270df4) REAL time: 45 secs
Writing design to file system.ncd
Writing design to file system.ncd
Total REAL time to Placer completion: 48 secs
Total REAL time to Placer completion: 48 secs
Total CPU time to Placer completion: 44 secs
Total CPU time to Placer completion: 44 secs
Starting Router
Starting Router
Phase 1: 14525 unrouted;       REAL time: 1 mins
Phase 1: 14525 unrouted;       REAL time: 1 mins
Phase 2: 12823 unrouted;       REAL time: 1 mins 1 secs
Phase 2: 12823 unrouted;       REAL time: 1 mins 1 secs
Phase 3: 2660 unrouted;       REAL time: 1 mins 7 secs
Phase 3: 2660 unrouted;       REAL time: 1 mins 7 secs
Phase 4: 2660 unrouted; (96135)      REAL time: 1 mins 8 secs
Phase 4: 2660 unrouted; (96135)      REAL time: 1 mins 8 secs
Phase 5: 2709 unrouted; (0)      REAL time: 1 mins 13 secs
Phase 5: 2709 unrouted; (0)      REAL time: 1 mins 13 secs
Phase 6: 2709 unrouted; (0)      REAL time: 1 mins 14 secs
Phase 6: 2709 unrouted; (0)      REAL time: 1 mins 14 secs
Phase 7: 0 unrouted; (0)      REAL time: 1 mins 26 secs
Phase 7: 0 unrouted; (0)      REAL time: 1 mins 26 secs
Phase 8: 0 unrouted; (0)      REAL time: 1 mins 29 secs
Phase 8: 0 unrouted; (0)      REAL time: 1 mins 29 secs
Total REAL time to Router completion: 1 mins 33 secs
Total REAL time to Router completion: 1 mins 33 secs
Total CPU time to Router completion: 1 mins 28 secs
Total CPU time to Router completion: 1 mins 28 secs
Generating "PAR" statistics.
Generating "PAR" statistics.
**************************
**************************
Generating Clock Report
Generating Clock Report
**************************
**************************
+---------------------+--------------+------+------+------------+-------------+
+---------------------+--------------+------+------+------------+-------------+
|        Clock Net    |   Resource   |Locked|Fanout|Net Skew(ns)|Max Delay(ns)|
|        Clock Net    |   Resource   |Locked|Fanout|Net Skew(ns)|Max Delay(ns)|
+---------------------+--------------+------+------+------------+-------------+
+---------------------+--------------+------+------+------------+-------------+
|data_bram_0_port_BRA |              |      |      |            |             |
|data_bram_0_port_BRA |              |      |      |            |             |
|               M_Clk |     BUFGMUX7S| No   |  948 |  0.281     |  1.258      |
|               M_Clk |     BUFGMUX7S| No   |  948 |  0.281     |  1.258      |
+---------------------+--------------+------+------+------------+-------------+
+---------------------+--------------+------+------+------------+-------------+
|           DBG_CLK_s |     BUFGMUX4P| No   |  139 |  0.244     |  1.257      |
|           DBG_CLK_s |     BUFGMUX4P| No   |  139 |  0.244     |  1.257      |
+---------------------+--------------+------+------+------------+-------------+
+---------------------+--------------+------+------+------------+-------------+
|fpga_0_SysACE_Compac |              |      |      |            |             |
|fpga_0_SysACE_Compac |              |      |      |            |             |
|   tFlash_SysACE_CLK |         Local|      |   62 |  0.272     |  2.463      |
|   tFlash_SysACE_CLK |         Local|      |   62 |  0.272     |  2.463      |
+---------------------+--------------+------+------+------------+-------------+
+---------------------+--------------+------+------+------------+-------------+
|debug_module/bscan_u |              |      |      |            |             |
|debug_module/bscan_u |              |      |      |            |             |
|               pdate |         Local|      |    1 |  0.000     |  0.356      |
|               pdate |         Local|      |    1 |  0.000     |  0.356      |
+---------------------+--------------+------+------+------------+-------------+
+---------------------+--------------+------+------+------------+-------------+
* Net Skew is the difference between the minimum and maximum routing
* Net Skew is the difference between the minimum and maximum routing
only delays for the net. Note this is different from Clock Skew which
only delays for the net. Note this is different from Clock Skew which
is reported in TRCE timing report. Clock Skew is the difference between
is reported in TRCE timing report. Clock Skew is the difference between
the minimum and maximum path delays which includes logic delays.
the minimum and maximum path delays which includes logic delays.
Timing Score: 0
Timing Score: 0
Number of Timing Constraints that were not applied: 1
Number of Timing Constraints that were not applied: 1
Asterisk (*) preceding a constraint indicates it was not met.
Asterisk (*) preceding a constraint indicates it was not met.
   This may be due to a setup or hold violation.
   This may be due to a setup or hold violation.
------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------------------
  Constraint                                | Requested  | Actual     | Logic  | Absolute   |Number of
  Constraint                                | Requested  | Actual     | Logic  | Absolute   |Number of
                                            |            |            | Levels | Slack      |errors
                                            |            |            | Levels | Slack      |errors
------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------------------
  TS_dcm_0_dcm_0_CLK0_BUF = PERIOD TIMEGRP  | 10.000ns   | 9.773ns    | 2      | 0.227ns    | 0
  TS_dcm_0_dcm_0_CLK0_BUF = PERIOD TIMEGRP  | 10.000ns   | 9.773ns    | 2      | 0.227ns    | 0
  "dcm_0_dcm_0_CLK0_BUF" TS_sys_clk_pin     |            |            |        |            |
  "dcm_0_dcm_0_CLK0_BUF" TS_sys_clk_pin     |            |            |        |            |
       HIGH 50%                             |            |            |        |            |
       HIGH 50%                             |            |            |        |            |
------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------------------
  NET "fpga_0_SysACE_CompactFlash_SysACE_CL | 30.000ns   | 3.969ns    | 2      | 26.031ns   | 0
  NET "fpga_0_SysACE_CompactFlash_SysACE_CL | 30.000ns   | 3.969ns    | 2      | 26.031ns   | 0
  K" PERIOD = 30 ns HIGH 50%                |            |            |        |            |
  K" PERIOD = 30 ns HIGH 50%                |            |            |        |            |
------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------------------
  TS_sys_clk_pin = PERIOD TIMEGRP "sys_clk_ | N/A        | N/A        | N/A    | N/A        | N/A
  TS_sys_clk_pin = PERIOD TIMEGRP "sys_clk_ | N/A        | N/A        | N/A    | N/A        | N/A
  pin" 10 ns HIGH 50%                       |            |            |        |            |
  pin" 10 ns HIGH 50%                       |            |            |        |            |
------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------------------
All constraints were met.
All constraints were met.
INFO:Timing:2761 - N/A entries in the Constraints list may indicate that the
INFO:Timing:2761 - N/A entries in the Constraints list may indicate that the
   constraint does not cover any paths or that it has no requested value.
   constraint does not cover any paths or that it has no requested value.
Generating Pad Report.
Generating Pad Report.
All signals are completely routed.
All signals are completely routed.
Total REAL time to PAR completion: 1 mins 39 secs
Total REAL time to PAR completion: 1 mins 39 secs
Total CPU time to PAR completion: 1 mins 32 secs
Total CPU time to PAR completion: 1 mins 32 secs
Peak Memory Usage:  310 MB
Peak Memory Usage:  310 MB
Placement: Completed - No errors found.
Placement: Completed - No errors found.
Routing: Completed - No errors found.
Routing: Completed - No errors found.
Timing: Completed - No errors found.
Timing: Completed - No errors found.
Number of error messages: 0
Number of error messages: 0
Number of warning messages: 2
Number of warning messages: 2
Number of info messages: 0
Number of info messages: 0
Writing design to file system.ncd
Writing design to file system.ncd
PAR done!
PAR done!
#----------------------------------------------#
#----------------------------------------------#
# Starting program post_par_trce
# Starting program post_par_trce
# trce -e 3 -xml system.twx system.ncd system.pcf
# trce -e 3 -xml system.twx system.ncd system.pcf
#----------------------------------------------#
#----------------------------------------------#
Release 8.1i - Trace I.24
Release 8.1i - Trace I.24
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
Loading device for application Rf_Device from file '2vp30.nph' in environment
Loading device for application Rf_Device from file '2vp30.nph' in environment
c:\ISE.
c:\ISE.
   "system" is an NCD, version 3.1, device xc2vp30, package ff896, speed -7
   "system" is an NCD, version 3.1, device xc2vp30, package ff896, speed -7
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
Release 8.1i Trace I.24
Release 8.1i Trace I.24
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
trce -e 3 -xml system.twx system.ncd system.pcf
trce -e 3 -xml system.twx system.ncd system.pcf
Design file:              system.ncd
Design file:              system.ncd
Physical constraint file: system.pcf
Physical constraint file: system.pcf
Device,speed:             xc2vp30,-7 (PRODUCTION 1.92 2005-11-04)
Device,speed:             xc2vp30,-7 (PRODUCTION 1.92 2005-11-04)
Report level:             error report
Report level:             error report
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
INFO:Timing:2752 - To get complete path coverage, use the unconstrained paths
INFO:Timing:2752 - To get complete path coverage, use the unconstrained paths
   option. All paths that are not constrained will be reported in the
   option. All paths that are not constrained will be reported in the
   unconstrained paths section(s) of the report.
   unconstrained paths section(s) of the report.
Timing summary:
Timing summary:
---------------
---------------
Timing errors: 0  Score: 0
Timing errors: 0  Score: 0
Constraints cover 479204 paths, 0 nets, and 12146 connections
Constraints cover 479204 paths, 0 nets, and 12146 connections
Design statistics:
Design statistics:
   Minimum period:   9.773ns (Maximum frequency: 102.323MHz)
   Minimum period:   9.773ns (Maximum frequency: 102.323MHz)
Analysis completed Thu Jul 06 21:55:53 2006
Analysis completed Thu Jul 06 21:55:53 2006
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
Generating Report ...
Generating Report ...
Number of warnings: 0
Number of warnings: 0
Number of info messages: 1
Number of info messages: 1
Total time: 11 secs
Total time: 11 secs
xflow done!
xflow done!
*********************************************
*********************************************
Running Bitgen..
Running Bitgen..
*********************************************
*********************************************
cd implementation; bitgen -w -f bitgen.ut system
cd implementation; bitgen -w -f bitgen.ut system
Release 8.1i - Bitgen I.24
Release 8.1i - Bitgen I.24
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
Loading device for application Rf_Device from file '2vp30.nph' in environment
Loading device for application Rf_Device from file '2vp30.nph' in environment
c:\ISE.
c:\ISE.
   "system" is an NCD, version 3.1, device xc2vp30, package ff896, speed -7
   "system" is an NCD, version 3.1, device xc2vp30, package ff896, speed -7
Opened constraints file system.pcf.
Opened constraints file system.pcf.
Thu Jul 06 21:56:01 2006
Thu Jul 06 21:56:01 2006
Running DRC.
Running DRC.
WARNING:PhysDesignRules:367 - The signal  is
WARNING:PhysDesignRules:367 - The signal  is
   incomplete. The signal does not drive any load pins in the design.
   incomplete. The signal does not drive any load pins in the design.
DRC detected 0 errors and 1 warnings.
DRC detected 0 errors and 1 warnings.
Creating bit map...
Creating bit map...
Saving bit stream in "system.bit".
Saving bit stream in "system.bit".
Creating bit mask...
Creating bit mask...
Saving mask bit stream in "system.msk".
Saving mask bit stream in "system.msk".
Bitstream generation is complete.
Bitstream generation is complete.
*********************************************
*********************************************
Initializing BRAM contents of the bitstream
Initializing BRAM contents of the bitstream
*********************************************
*********************************************
bitinit system.mhs -lp D:/XilinxXUP/lib/ -pe microblaze_0 Testbench1/executable.elf  \
bitinit system.mhs -lp D:/XilinxXUP/lib/ -pe microblaze_0 Testbench1/executable.elf  \
-bt implementation/system.bit -o implementation/download.bit
-bt implementation/system.bit -o implementation/download.bit
bitinit version Xilinx EDK 8.1 Build EDK_I.18.7
bitinit version Xilinx EDK 8.1 Build EDK_I.18.7
Copyright (c) Xilinx Inc. 2002.
Copyright (c) Xilinx Inc. 2002.
Parsing MHS File system.mhs...
Parsing MHS File system.mhs...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/microblaze_v4_00_a/data/microblaze_v2_1_0.
C:/EDK/hw/XilinxProcessorIPLib/pcores/microblaze_v4_00_a/data/microblaze_v2_1_0.
tcl ...
tcl ...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_mdm_v2_00_a/data/opb_mdm_v2_1_0.tcl
C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_mdm_v2_00_a/data/opb_mdm_v2_1_0.tcl
...
...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_v10_v1_00_a/data/lmb_v10_v2_1_0.tcl
C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_v10_v1_00_a/data/lmb_v10_v2_1_0.tcl
...
...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_bram_if_cntlr_v1_00_b/data/lmb_bram_if
C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_bram_if_cntlr_v1_00_b/data/lmb_bram_if
_cntlr_v2_1_0.tcl ...
_cntlr_v2_1_0.tcl ...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_sysace_v1_00_c/data/opb_sysace_v2_1_0.
C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_sysace_v1_00_c/data/opb_sysace_v2_1_0.
tcl ...
tcl ...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/dcm_module_v1_00_a/data/dcm_module_v2_1_0.
C:/EDK/hw/XilinxProcessorIPLib/pcores/dcm_module_v1_00_a/data/dcm_module_v2_1_0.
tcl ...
tcl ...
Overriding IP level properties ...
Overriding IP level properties ...
microblaze (microblaze_0) -
microblaze (microblaze_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
mpd line 63 - tool overriding c_family value virtex2 to
mpd line 63 - tool overriding c_family value virtex2 to
microblaze (microblaze_0) -
microblaze (microblaze_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
mpd line 64 - tool overriding c_instance value microblaze to microblaze_0
mpd line 64 - tool overriding c_instance value microblaze to microblaze_0
microblaze (microblaze_0) -
microblaze (microblaze_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
mpd:93 - tcl overriding C_ADDR_TAG_BITS value 17 to 0
mpd:93 - tcl overriding C_ADDR_TAG_BITS value 17 to 0
microblaze (microblaze_0) -
microblaze (microblaze_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
mpd:100 - tcl overriding C_DCACHE_ADDR_TAG value 17 to 0
mpd:100 - tcl overriding C_DCACHE_ADDR_TAG value 17 to 0
opb_mdm (debug_module) -
opb_mdm (debug_module) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_mdm_v2_00_a\data\opb_mdm_v2_1_0.mpd
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_mdm_v2_00_a\data\opb_mdm_v2_1_0.mpd
line 42 - tool overriding c_family value virtex2 to
line 42 - tool overriding c_family value virtex2 to
bram_block (lmb_bram) -
bram_block (lmb_bram) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
mpd line 42 - tool overriding c_family value virtex2 to
mpd line 42 - tool overriding c_family value virtex2 to
opb_gpio (leds_4bit) -
opb_gpio (leds_4bit) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd
line 41 - tool overriding c_family value virtex2 to
line 41 - tool overriding c_family value virtex2 to
opb_gpio (dipsws_4bit) -
opb_gpio (dipsws_4bit) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd
line 41 - tool overriding c_family value virtex2 to
line 41 - tool overriding c_family value virtex2 to
opb_gpio (pushbuttons_5bit) -
opb_gpio (pushbuttons_5bit) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd
line 41 - tool overriding c_family value virtex2 to
line 41 - tool overriding c_family value virtex2 to
dcm_module (dcm_0) -
dcm_module (dcm_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\dcm_module_v1_00_a\data\dcm_module_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\dcm_module_v1_00_a\data\dcm_module_v2_1_0.
mpd line 60 - tool overriding c_family value virtex2 to
mpd line 60 - tool overriding c_family value virtex2 to
bram_block (data_bram_0) -
bram_block (data_bram_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
mpd line 42 - tool overriding c_family value virtex2 to
mpd line 42 - tool overriding c_family value virtex2 to
bram_block (data_bram_1) -
bram_block (data_bram_1) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
mpd line 42 - tool overriding c_family value virtex2 to
mpd line 42 - tool overriding c_family value virtex2 to
Performing IP level DRCs on properties...
Performing IP level DRCs on properties...
Running DRC Tcl procedures for OPTION IPLEVEL_DRC_PROC...
Running DRC Tcl procedures for OPTION IPLEVEL_DRC_PROC...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
2_1_0.tcl ...
2_1_0.tcl ...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
2_1_0.tcl ...
2_1_0.tcl ...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
2_1_0.tcl ...
2_1_0.tcl ...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
2_1_0.tcl ...
2_1_0.tcl ...
Address Map for Processor microblaze_0
Address Map for Processor microblaze_0
  (0x00000000-0x0000ffff) dlmb_cntlr    dlmb
  (0x00000000-0x0000ffff) dlmb_cntlr    dlmb
  (0x00000000-0x0000ffff) ilmb_cntlr    ilmb
  (0x00000000-0x0000ffff) ilmb_cntlr    ilmb
  (0x40000000-0x4000ffff) PushButtons_5Bit      mb_opb
  (0x40000000-0x4000ffff) PushButtons_5Bit      mb_opb
  (0x40020000-0x4002ffff) LEDs_4Bit     mb_opb
  (0x40020000-0x4002ffff) LEDs_4Bit     mb_opb
  (0x40040000-0x4004ffff) DIPSWs_4Bit   mb_opb
  (0x40040000-0x4004ffff) DIPSWs_4Bit   mb_opb
  (0x40600000-0x4060ffff) RS232_Uart_1  mb_opb
  (0x40600000-0x4060ffff) RS232_Uart_1  mb_opb
  (0x41400000-0x4140ffff) debug_module  mb_opb
  (0x41400000-0x4140ffff) debug_module  mb_opb
  (0x41800000-0x4180ffff) SysACE_CompactFlash   mb_opb
  (0x41800000-0x4180ffff) SysACE_CompactFlash   mb_opb
  (0x70000000-0x7000ffff) data_bram_if_cntlr_0  dlmb
  (0x70000000-0x7000ffff) data_bram_if_cntlr_0  dlmb
  (0x70010000-0x7001ffff) data_bram_if_cntlr_1  dlmb
  (0x70010000-0x7001ffff) data_bram_if_cntlr_1  dlmb
Initializing Memory...
Initializing Memory...
Checking ELFs associated with MICROBLAZE instance microblaze_0 for overlap...
Checking ELFs associated with MICROBLAZE instance microblaze_0 for overlap...
Analyzing file Testbench1/executable.elf...
Analyzing file Testbench1/executable.elf...
INFO:MDT - BRAM lmb_bram will be initialized with ELF of processor microblaze_0
INFO:MDT - BRAM lmb_bram will be initialized with ELF of processor microblaze_0
Running Data2Mem with the following command:
Running Data2Mem with the following command:
data2mem -bm implementation/system_bd -bt implementation/system.bit  -bd
data2mem -bm implementation/system_bd -bt implementation/system.bit  -bd
Testbench1/executable.elf tag data_bram_0 data_bram_1 lmb_bram  -o b
Testbench1/executable.elf tag data_bram_0 data_bram_1 lmb_bram  -o b
implementation/download.bit
implementation/download.bit
Memory Initialization completed successfully.
Memory Initialization completed successfully.
Done!
Done!
At Local date and time: Thu Jul 06 21:57:25 2006
At Local date and time: Thu Jul 06 21:57:25 2006
 xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make download; exit;" started...
 xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make download; exit;" started...
*********************************************
*********************************************
Downloading Bitstream onto the target board
Downloading Bitstream onto the target board
*********************************************
*********************************************
impact -batch etc/download.cmd
impact -batch etc/download.cmd
Release 8.1i - iMPACT I.24
Release 8.1i - iMPACT I.24
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
// *** BATCH CMD : setMode -bs
// *** BATCH CMD : setMode -bs
// *** BATCH CMD : setCable -port auto
// *** BATCH CMD : setCable -port auto
AutoDetecting cable. Please wait.
AutoDetecting cable. Please wait.
Connecting to cable (Parallel Port - LPT1).
Connecting to cable (Parallel Port - LPT1).
Checking cable driver.
Checking cable driver.
 Driver windrvr6.sys version = 7.0.0.0.
 Driver windrvr6.sys version = 7.0.0.0.
 LPT base address = 0378h.
 LPT base address = 0378h.
 ECP base address = 0778h.
 ECP base address = 0778h.
 ECP hardware is detected.
 ECP hardware is detected.
Cable connection established.
Cable connection established.
Connecting to cable (Parallel Port - LPT1) in ECP mode.
Connecting to cable (Parallel Port - LPT1) in ECP mode.
Checking cable driver.
Checking cable driver.
 Driver xpc4drvr.sys version = 1.0.4.0. LPT base address = 0378h.
 Driver xpc4drvr.sys version = 1.0.4.0. LPT base address = 0378h.
 Cable Type = 1, Revision = 3.
 Cable Type = 1, Revision = 3.
 Setting cable speed to 5 MHz.
 Setting cable speed to 5 MHz.
Cable connection established.
Cable connection established.
// *** BATCH CMD : identify
// *** BATCH CMD : identify
Identifying chain contents ....Version is 0001
Identifying chain contents ....Version is 0001
'1': : Manufacturer's ID =Xilinx xc2vp30, Version : 1
'1': : Manufacturer's ID =Xilinx xc2vp30, Version : 1
PMSPEC -- Overriding Xilinx file  with local
PMSPEC -- Overriding Xilinx file  with local
file 
file 
INFO:iMPACT:1777 -
INFO:iMPACT:1777 -
   Reading c:/ISE/virtex2p/data/xc2vp30.bsd...
   Reading c:/ISE/virtex2p/data/xc2vp30.bsd...
INFO:iMPACT:501 - '1': Added Device xc2vp30 successfully.
INFO:iMPACT:501 - '1': Added Device xc2vp30 successfully.
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
Version is 0000
Version is 0000
'2': : Manufacturer's ID =Xilinx xccace, Version : 0
'2': : Manufacturer's ID =Xilinx xccace, Version : 0
INFO:iMPACT:1777 -
INFO:iMPACT:1777 -
   Reading c:/ISE/acecf/data/xccace.bsd...
   Reading c:/ISE/acecf/data/xccace.bsd...
INFO:iMPACT:501 - '1': Added Device xccace successfully.
INFO:iMPACT:501 - '1': Added Device xccace successfully.
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
Version is 1111
Version is 1111
'3': : Manufacturer's ID =Xilinx xcf32p, Version : 15
'3': : Manufacturer's ID =Xilinx xcf32p, Version : 15
INFO:iMPACT:1777 -
INFO:iMPACT:1777 -
   Reading c:/ISE/xcfp/data/xcf32p.bsd...
   Reading c:/ISE/xcfp/data/xcf32p.bsd...
INFO:iMPACT:501 - '1': Added Device xcf32p successfully.
INFO:iMPACT:501 - '1': Added Device xcf32p successfully.
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
done.
done.
[
[
  1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1
  1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1
]
]
[
[
  0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1
  0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1
]
]
Chain TCK freq = 10000000.
Chain TCK freq = 10000000.
Validating chain...
Validating chain...
Boundary-scan chain validated successfully.
Boundary-scan chain validated successfully.
Elapsed time =      2 sec.
Elapsed time =      2 sec.
// *** BATCH CMD : identifyMPM
// *** BATCH CMD : identifyMPM
Elapsed time =      0 sec.
Elapsed time =      0 sec.
// *** BATCH CMD : assignFile -p 3 -file "implementation/download.bit"
// *** BATCH CMD : assignFile -p 3 -file "implementation/download.bit"
'3': Loading file 'implementation/download.bit' ...
'3': Loading file 'implementation/download.bit' ...
done.
done.
INFO:iMPACT:501 - '3': Added Device xc2vp30 successfully.
INFO:iMPACT:501 - '3': Added Device xc2vp30 successfully.
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
// *** BATCH CMD : program -p 3
// *** BATCH CMD : program -p 3
Chain TCK freq = 10000000.
Chain TCK freq = 10000000.
Validating chain...
Validating chain...
Boundary-scan chain validated successfully.
Boundary-scan chain validated successfully.
'3':Programming  device...
'3':Programming  device...
done.
done.
'3': Reading status register contents...
'3': Reading status register contents...
CRC error                                         :         0
CRC error                                         :         0
Decryptor security set                            :         0
Decryptor security set                            :         0
DCM locked                                        :         1
DCM locked                                        :         1
DCI matched                                       :         1
DCI matched                                       :         1
legacy input error                                :         0
legacy input error                                :         0
status of GTS_CFG_B                               :         1
status of GTS_CFG_B                               :         1
status of GWE                                     :         1
status of GWE                                     :         1
status of GHIGH                                   :         1
status of GHIGH                                   :         1
value of MODE pin M0                              :         1
value of MODE pin M0                              :         1
value of MODE pin M1                              :         0
value of MODE pin M1                              :         0
value of MODE pin M2                              :         1
value of MODE pin M2                              :         1
value of CFG_RDY (INIT_B)                         :         1
value of CFG_RDY (INIT_B)                         :         1
DONEIN input from DONE pin                        :         1
DONEIN input from DONE pin                        :         1
IDCODE not validated while trying to write FDRI   :         0
IDCODE not validated while trying to write FDRI   :         0
write FDRI issued before or after decrypt operation:         0
write FDRI issued before or after decrypt operation:         0
Decryptor keys not used in proper sequence        :         0
Decryptor keys not used in proper sequence        :         0
INFO:iMPACT:2219 - Status register values:
INFO:iMPACT:2219 - Status register values:
INFO:iMPACT - 0011 0111 1011 1000 0000 0000 0000 0000
INFO:iMPACT - 0011 0111 1011 1000 0000 0000 0000 0000
INFO:iMPACT:579 - '3': Completed downloading bit file to device.
INFO:iMPACT:579 - '3': Completed downloading bit file to device.
INFO:iMPACT:580 - '3':Checking done pin ....done.
INFO:iMPACT:580 - '3':Checking done pin ....done.
'3': Programmed successfully.
'3': Programmed successfully.
Elapsed time =      4 sec.
Elapsed time =      4 sec.
// *** BATCH CMD : quit
// *** BATCH CMD : quit
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
Done!
Done!
At Local date and time: Thu Jul 06 22:03:12 2006
At Local date and time: Thu Jul 06 22:03:12 2006
 xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make download; exit;" started...
 xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make download; exit;" started...
*********************************************
*********************************************
Downloading Bitstream onto the target board
Downloading Bitstream onto the target board
*********************************************
*********************************************
impact -batch etc/download.cmd
impact -batch etc/download.cmd
Release 8.1i - iMPACT I.24
Release 8.1i - iMPACT I.24
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
// *** BATCH CMD : setMode -bs
// *** BATCH CMD : setMode -bs
// *** BATCH CMD : setCable -port auto
// *** BATCH CMD : setCable -port auto
AutoDetecting cable. Please wait.
AutoDetecting cable. Please wait.
Connecting to cable (Parallel Port - LPT1).
Connecting to cable (Parallel Port - LPT1).
Checking cable driver.
Checking cable driver.
 Driver windrvr6.sys version = 7.0.0.0. LPT base address = 0378h.
 Driver windrvr6.sys version = 7.0.0.0. LPT base address = 0378h.
 ECP base address = 0778h.
 ECP base address = 0778h.
 ECP hardware is detected.
 ECP hardware is detected.
Cable connection established.
Cable connection established.
Connecting to cable (Parallel Port - LPT1) in ECP mode.
Connecting to cable (Parallel Port - LPT1) in ECP mode.
Checking cable driver.
Checking cable driver.
 Driver xpc4drvr.sys version = 1.0.4.0. LPT base address = 0378h.
 Driver xpc4drvr.sys version = 1.0.4.0. LPT base address = 0378h.
 Cable Type = 1, Revision = 3.
 Cable Type = 1, Revision = 3.
 Setting cable speed to 5 MHz.
 Setting cable speed to 5 MHz.
Cable connection established.
Cable connection established.
// *** BATCH CMD : identify
// *** BATCH CMD : identify
Identifying chain contents ....Version is 0001
Identifying chain contents ....Version is 0001
'1': : Manufacturer's ID =Xilinx xc2vp30, Version : 1
'1': : Manufacturer's ID =Xilinx xc2vp30, Version : 1
PMSPEC -- Overriding Xilinx file  with local
PMSPEC -- Overriding Xilinx file  with local
file 
file 
INFO:iMPACT:1777 -
INFO:iMPACT:1777 -
   Reading c:/ISE/virtex2p/data/xc2vp30.bsd...
   Reading c:/ISE/virtex2p/data/xc2vp30.bsd...
INFO:iMPACT:501 - '1': Added Device xc2vp30 successfully.
INFO:iMPACT:501 - '1': Added Device xc2vp30 successfully.
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
Version is 0000
Version is 0000
'2': : Manufacturer's ID =Xilinx xccace, Version : 0
'2': : Manufacturer's ID =Xilinx xccace, Version : 0
INFO:iMPACT:1777 -
INFO:iMPACT:1777 -
   Reading c:/ISE/acecf/data/xccace.bsd...
   Reading c:/ISE/acecf/data/xccace.bsd...
INFO:iMPACT:501 - '1': Added Device xccace successfully.
INFO:iMPACT:501 - '1': Added Device xccace successfully.
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
Version is 1111
Version is 1111
'3': : Manufacturer's ID =Xilinx xcf32p, Version : 15
'3': : Manufacturer's ID =Xilinx xcf32p, Version : 15
INFO:iMPACT:1777 -
INFO:iMPACT:1777 -
   Reading c:/ISE/xcfp/data/xcf32p.bsd...
   Reading c:/ISE/xcfp/data/xcf32p.bsd...
INFO:iMPACT:501 - '1': Added Device xcf32p successfully.
INFO:iMPACT:501 - '1': Added Device xcf32p successfully.
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
done.
done.
[
[
  1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1
  1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1
]
]
[
[
  0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1
  0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1
]
]
Chain TCK freq = 10000000.
Chain TCK freq = 10000000.
Validating chain...
Validating chain...
Boundary-scan chain validated successfully.
Boundary-scan chain validated successfully.
Elapsed time =      1 sec.
Elapsed time =      1 sec.
// *** BATCH CMD : identifyMPM
// *** BATCH CMD : identifyMPM
Elapsed time =      0 sec.
Elapsed time =      0 sec.
// *** BATCH CMD : assignFile -p 3 -file "implementation/download.bit"
// *** BATCH CMD : assignFile -p 3 -file "implementation/download.bit"
'3': Loading file 'implementation/download.bit' ...
'3': Loading file 'implementation/download.bit' ...
done.
done.
INFO:iMPACT:501 - '3': Added Device xc2vp30 successfully.
INFO:iMPACT:501 - '3': Added Device xc2vp30 successfully.
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
// *** BATCH CMD : program -p 3
// *** BATCH CMD : program -p 3
Chain TCK freq = 10000000.
Chain TCK freq = 10000000.
Validating chain...
Validating chain...
Boundary-scan chain validated successfully.
Boundary-scan chain validated successfully.
'3':Programming  device...
'3':Programming  device...
done.
done.
'3': Reading status register contents...
'3': Reading status register contents...
CRC error                                         :         0
CRC error                                         :         0
Decryptor security set                            :         0
Decryptor security set                            :         0
DCM locked                                        :         1
DCM locked                                        :         1
DCI matched                                       :         1
DCI matched                                       :         1
legacy input error                                :         0
legacy input error                                :         0
status of GTS_CFG_B                               :         1
status of GTS_CFG_B                               :         1
status of GWE                                     :         1
status of GWE                                     :         1
status of GHIGH                                   :         1
status of GHIGH                                   :         1
value of MODE pin M0                              :         1
value of MODE pin M0                              :         1
value of MODE pin M1                              :         0
value of MODE pin M1                              :         0
value of MODE pin M2                              :         1
value of MODE pin M2                              :         1
value of CFG_RDY (INIT_B)                         :         1
value of CFG_RDY (INIT_B)                         :         1
DONEIN input from DONE pin                        :         1
DONEIN input from DONE pin                        :         1
IDCODE not validated while trying to write FDRI   :         0
IDCODE not validated while trying to write FDRI   :         0
write FDRI issued before or after decrypt operation:         0
write FDRI issued before or after decrypt operation:         0
Decryptor keys not used in proper sequence        :         0
Decryptor keys not used in proper sequence        :         0
INFO:iMPACT:2219 - Status register values:
INFO:iMPACT:2219 - Status register values:
INFO:iMPACT - 0011 0111 1011 1000 0000 0000 0000 0000
INFO:iMPACT - 0011 0111 1011 1000 0000 0000 0000 0000
INFO:iMPACT:579 - '3': Completed downloading bit file to device.
INFO:iMPACT:579 - '3': Completed downloading bit file to device.
INFO:iMPACT:580 - '3':Checking done pin ....done.
INFO:iMPACT:580 - '3':Checking done pin ....done.
'3': Programmed successfully.
'3': Programmed successfully.
Elapsed time =      3 sec.
Elapsed time =      3 sec.
// *** BATCH CMD : quit
// *** BATCH CMD : quit
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
Done!
Done!
Done.
Done.
Done.
Done.
At Local date and time: Thu Jul 06 22:10:06 2006
At Local date and time: Thu Jul 06 22:10:06 2006
 xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make program; exit;" started...
 xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make program; exit;" started...
mb-gcc -O2 testbench1/tb1.c  -o Testbench1/executable.elf \
mb-gcc -O2 testbench1/tb1.c  -o Testbench1/executable.elf \
    -mno-xl-soft-mul      -g    -I./microblaze_0/include/  -L./microblaze_0/lib/  \
    -mno-xl-soft-mul      -g    -I./microblaze_0/include/  -L./microblaze_0/lib/  \
mb-size Testbench1/executable.elf
mb-size Testbench1/executable.elf
   text    data     bss     dec     hex filename
   text    data     bss     dec     hex filename
  21596     144   13832   35572    8af4 Testbench1/executable.elf
  21596     144   13832   35572    8af4 Testbench1/executable.elf
Done!
Done!
At Local date and time: Thu Jul 06 22:10:28 2006
At Local date and time: Thu Jul 06 22:10:28 2006
 xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make init_bram; exit;" started...
 xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make init_bram; exit;" started...
*********************************************
*********************************************
Initializing BRAM contents of the bitstream
Initializing BRAM contents of the bitstream
*********************************************
*********************************************
bitinit system.mhs -lp D:/XilinxXUP/lib/ -pe microblaze_0 Testbench1/executable.elf  \
bitinit system.mhs -lp D:/XilinxXUP/lib/ -pe microblaze_0 Testbench1/executable.elf  \
-bt implementation/system.bit -o implementation/download.bit
-bt implementation/system.bit -o implementation/download.bit
bitinit version Xilinx EDK 8.1 Build EDK_I.18.7
bitinit version Xilinx EDK 8.1 Build EDK_I.18.7
Copyright (c) Xilinx Inc. 2002.
Copyright (c) Xilinx Inc. 2002.
Parsing MHS File system.mhs...
Parsing MHS File system.mhs...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/microblaze_v4_00_a/data/microblaze_v2_1_0.
C:/EDK/hw/XilinxProcessorIPLib/pcores/microblaze_v4_00_a/data/microblaze_v2_1_0.
tcl ...
tcl ...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_mdm_v2_00_a/data/opb_mdm_v2_1_0.tcl
C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_mdm_v2_00_a/data/opb_mdm_v2_1_0.tcl
...
...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_v10_v1_00_a/data/lmb_v10_v2_1_0.tcl
C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_v10_v1_00_a/data/lmb_v10_v2_1_0.tcl
...
...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_bram_if_cntlr_v1_00_b/data/lmb_bram_if
C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_bram_if_cntlr_v1_00_b/data/lmb_bram_if
_cntlr_v2_1_0.tcl ...
_cntlr_v2_1_0.tcl ...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_sysace_v1_00_c/data/opb_sysace_v2_1_0.
C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_sysace_v1_00_c/data/opb_sysace_v2_1_0.
tcl ...
tcl ...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/dcm_module_v1_00_a/data/dcm_module_v2_1_0.
C:/EDK/hw/XilinxProcessorIPLib/pcores/dcm_module_v1_00_a/data/dcm_module_v2_1_0.
tcl ...
tcl ...
Overriding IP level properties ...
Overriding IP level properties ...
microblaze (microblaze_0) -
microblaze (microblaze_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
mpd line 63 - tool overriding c_family value virtex2 to
mpd line 63 - tool overriding c_family value virtex2 to
microblaze (microblaze_0) -
microblaze (microblaze_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
mpd line 64 - tool overriding c_instance value microblaze to microblaze_0
mpd line 64 - tool overriding c_instance value microblaze to microblaze_0
microblaze (microblaze_0) -
microblaze (microblaze_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
mpd:93 - tcl overriding C_ADDR_TAG_BITS value 17 to 0
mpd:93 - tcl overriding C_ADDR_TAG_BITS value 17 to 0
microblaze (microblaze_0) -
microblaze (microblaze_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
mpd:100 - tcl overriding C_DCACHE_ADDR_TAG value 17 to 0
mpd:100 - tcl overriding C_DCACHE_ADDR_TAG value 17 to 0
opb_mdm (debug_module) -
opb_mdm (debug_module) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_mdm_v2_00_a\data\opb_mdm_v2_1_0.mpd
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_mdm_v2_00_a\data\opb_mdm_v2_1_0.mpd
line 42 - tool overriding c_family value virtex2 to
line 42 - tool overriding c_family value virtex2 to
bram_block (lmb_bram) -
bram_block (lmb_bram) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
mpd line 42 - tool overriding c_family value virtex2 to
mpd line 42 - tool overriding c_family value virtex2 to
opb_gpio (leds_4bit) -
opb_gpio (leds_4bit) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd
line 41 - tool overriding c_family value virtex2 to
line 41 - tool overriding c_family value virtex2 to
opb_gpio (dipsws_4bit) -
opb_gpio (dipsws_4bit) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd
line 41 - tool overriding c_family value virtex2 to
line 41 - tool overriding c_family value virtex2 to
opb_gpio (pushbuttons_5bit) -
opb_gpio (pushbuttons_5bit) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd
line 41 - tool overriding c_family value virtex2 to
line 41 - tool overriding c_family value virtex2 to
dcm_module (dcm_0) -
dcm_module (dcm_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\dcm_module_v1_00_a\data\dcm_module_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\dcm_module_v1_00_a\data\dcm_module_v2_1_0.
mpd line 60 - tool overriding c_family value virtex2 to
mpd line 60 - tool overriding c_family value virtex2 to
bram_block (data_bram_0) -
bram_block (data_bram_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
mpd line 42 - tool overriding c_family value virtex2 to
mpd line 42 - tool overriding c_family value virtex2 to
bram_block (data_bram_1) -
bram_block (data_bram_1) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
mpd line 42 - tool overriding c_family value virtex2 to
mpd line 42 - tool overriding c_family value virtex2 to
Performing IP level DRCs on properties...
Performing IP level DRCs on properties...
Running DRC Tcl procedures for OPTION IPLEVEL_DRC_PROC...
Running DRC Tcl procedures for OPTION IPLEVEL_DRC_PROC...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
2_1_0.tcl ...
2_1_0.tcl ...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
2_1_0.tcl ...
2_1_0.tcl ...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
2_1_0.tcl ...
2_1_0.tcl ...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
2_1_0.tcl ...
2_1_0.tcl ...
Address Map for Processor microblaze_0
Address Map for Processor microblaze_0
  (0x00000000-0x0000ffff) dlmb_cntlr    dlmb
  (0x00000000-0x0000ffff) dlmb_cntlr    dlmb
  (0x00000000-0x0000ffff) ilmb_cntlr    ilmb
  (0x00000000-0x0000ffff) ilmb_cntlr    ilmb
  (0x40000000-0x4000ffff) PushButtons_5Bit      mb_opb
  (0x40000000-0x4000ffff) PushButtons_5Bit      mb_opb
  (0x40020000-0x4002ffff) LEDs_4Bit     mb_opb
  (0x40020000-0x4002ffff) LEDs_4Bit     mb_opb
  (0x40040000-0x4004ffff) DIPSWs_4Bit   mb_opb
  (0x40040000-0x4004ffff) DIPSWs_4Bit   mb_opb
  (0x40600000-0x4060ffff) RS232_Uart_1  mb_opb
  (0x40600000-0x4060ffff) RS232_Uart_1  mb_opb
  (0x41400000-0x4140ffff) debug_module  mb_opb
  (0x41400000-0x4140ffff) debug_module  mb_opb
  (0x41800000-0x4180ffff) SysACE_CompactFlash   mb_opb
  (0x41800000-0x4180ffff) SysACE_CompactFlash   mb_opb
  (0x70000000-0x7000ffff) data_bram_if_cntlr_0  dlmb
  (0x70000000-0x7000ffff) data_bram_if_cntlr_0  dlmb
  (0x70010000-0x7001ffff) data_bram_if_cntlr_1  dlmb
  (0x70010000-0x7001ffff) data_bram_if_cntlr_1  dlmb
Initializing Memory...
Initializing Memory...
Checking ELFs associated with MICROBLAZE instance microblaze_0 for overlap...
Checking ELFs associated with MICROBLAZE instance microblaze_0 for overlap...
Analyzing file Testbench1/executable.elf...
Analyzing file Testbench1/executable.elf...
INFO:MDT - BRAM lmb_bram will be initialized with ELF of processor microblaze_0
INFO:MDT - BRAM lmb_bram will be initialized with ELF of processor microblaze_0
Running Data2Mem with the following command:
Running Data2Mem with the following command:
data2mem -bm implementation/system_bd -bt implementation/system.bit  -bd
data2mem -bm implementation/system_bd -bt implementation/system.bit  -bd
Testbench1/executable.elf tag data_bram_0 data_bram_1 lmb_bram  -o b
Testbench1/executable.elf tag data_bram_0 data_bram_1 lmb_bram  -o b
implementation/download.bit
implementation/download.bit
Memory Initialization completed successfully.
Memory Initialization completed successfully.
Done!
Done!
At Local date and time: Thu Jul 06 22:10:34 2006
At Local date and time: Thu Jul 06 22:10:34 2006
 xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make download; exit;" started...
 xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make download; exit;" started...
*********************************************
*********************************************
Downloading Bitstream onto the target board
Downloading Bitstream onto the target board
*********************************************
*********************************************
impact -batch etc/download.cmd
impact -batch etc/download.cmd
Release 8.1i - iMPACT I.24
Release 8.1i - iMPACT I.24
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
// *** BATCH CMD : setMode -bs
// *** BATCH CMD : setMode -bs
// *** BATCH CMD : setCable -port auto
// *** BATCH CMD : setCable -port auto
AutoDetecting cable. Please wait.
AutoDetecting cable. Please wait.
Connecting to cable (Parallel Port - LPT1).
Connecting to cable (Parallel Port - LPT1).
Checking cable driver.
Checking cable driver.
 Driver windrvr6.sys version = 7.0.0.0. LPT base address = 0378h.
 Driver windrvr6.sys version = 7.0.0.0. LPT base address = 0378h.
 ECP base address = 0778h.
 ECP base address = 0778h.
 ECP hardware is detected.
 ECP hardware is detected.
Cable connection established.
Cable connection established.
Connecting to cable (Parallel Port - LPT1) in ECP mode.
Connecting to cable (Parallel Port - LPT1) in ECP mode.
Checking cable driver.
Checking cable driver.
 Driver xpc4drvr.sys version = 1.0.4.0. LPT base address = 0378h.
 Driver xpc4drvr.sys version = 1.0.4.0. LPT base address = 0378h.
 Cable Type = 1, Revision = 3.
 Cable Type = 1, Revision = 3.
 Setting cable speed to 5 MHz.
 Setting cable speed to 5 MHz.
Cable connection established.
Cable connection established.
// *** BATCH CMD : identify
// *** BATCH CMD : identify
Identifying chain contents ....Version is 0001
Identifying chain contents ....Version is 0001
'1': : Manufacturer's ID =Xilinx xc2vp30, Version : 1
'1': : Manufacturer's ID =Xilinx xc2vp30, Version : 1
PMSPEC -- Overriding Xilinx file  with local
PMSPEC -- Overriding Xilinx file  with local
file 
file 
INFO:iMPACT:1777 -
INFO:iMPACT:1777 -
   Reading c:/ISE/virtex2p/data/xc2vp30.bsd...
   Reading c:/ISE/virtex2p/data/xc2vp30.bsd...
INFO:iMPACT:501 - '1': Added Device xc2vp30 successfully.
INFO:iMPACT:501 - '1': Added Device xc2vp30 successfully.
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
Version is 0000
Version is 0000
'2': : Manufacturer's ID =Xilinx xccace, Version : 0
'2': : Manufacturer's ID =Xilinx xccace, Version : 0
INFO:iMPACT:1777 -
INFO:iMPACT:1777 -
   Reading c:/ISE/acecf/data/xccace.bsd...
   Reading c:/ISE/acecf/data/xccace.bsd...
INFO:iMPACT:501 - '1': Added Device xccace successfully.
INFO:iMPACT:501 - '1': Added Device xccace successfully.
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
Version is 1111
Version is 1111
'3': : Manufacturer's ID =Xilinx xcf32p, Version : 15
'3': : Manufacturer's ID =Xilinx xcf32p, Version : 15
INFO:iMPACT:1777 -
INFO:iMPACT:1777 -
   Reading c:/ISE/xcfp/data/xcf32p.bsd...
   Reading c:/ISE/xcfp/data/xcf32p.bsd...
INFO:iMPACT:501 - '1': Added Device xcf32p successfully.
INFO:iMPACT:501 - '1': Added Device xcf32p successfully.
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
done.
done.
[
[
  1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1
  1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1
]
]
[
[
  0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1
  0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1
]
]
Chain TCK freq = 10000000.
Chain TCK freq = 10000000.
Validating chain...
Validating chain...
Boundary-scan chain validated successfully.
Boundary-scan chain validated successfully.
Elapsed time =      1 sec.
Elapsed time =      1 sec.
// *** BATCH CMD : identifyMPM
// *** BATCH CMD : identifyMPM
Elapsed time =      0 sec.
Elapsed time =      0 sec.
// *** BATCH CMD : assignFile -p 3 -file "implementation/download.bit"
// *** BATCH CMD : assignFile -p 3 -file "implementation/download.bit"
'3': Loading file 'implementation/download.bit' ...
'3': Loading file 'implementation/download.bit' ...
done.
done.
INFO:iMPACT:501 - '3': Added Device xc2vp30 successfully.
INFO:iMPACT:501 - '3': Added Device xc2vp30 successfully.
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
// *** BATCH CMD : program -p 3
// *** BATCH CMD : program -p 3
Chain TCK freq = 10000000.
Chain TCK freq = 10000000.
Validating chain...
Validating chain...
Boundary-scan chain validated successfully.
Boundary-scan chain validated successfully.
'3':Programming  device...
'3':Programming  device...
done.
done.
'3': Reading status register contents...
'3': Reading status register contents...
CRC error                                         :         0
CRC error                                         :         0
Decryptor security set                            :         0
Decryptor security set                            :         0
DCM locked                                        :         1
DCM locked                                        :         1
DCI matched                                       :         1
DCI matched                                       :         1
legacy input error                                :         0
legacy input error                                :         0
status of GTS_CFG_B                               :         1
status of GTS_CFG_B                               :         1
status of GWE                                     :         1
status of GWE                                     :         1
status of GHIGH                                   :         1
status of GHIGH                                   :         1
value of MODE pin M0                              :         1
value of MODE pin M0                              :         1
value of MODE pin M1                              :         0
value of MODE pin M1                              :         0
value of MODE pin M2                              :         1
value of MODE pin M2                              :         1
value of CFG_RDY (INIT_B)                         :         1
value of CFG_RDY (INIT_B)                         :         1
DONEIN input from DONE pin                        :         1
DONEIN input from DONE pin                        :         1
IDCODE not validated while trying to write FDRI   :         0
IDCODE not validated while trying to write FDRI   :         0
write FDRI issued before or after decrypt operation:         0
write FDRI issued before or after decrypt operation:         0
Decryptor keys not used in proper sequence        :         0
Decryptor keys not used in proper sequence        :         0
INFO:iMPACT:2219 - Status register values:
INFO:iMPACT:2219 - Status register values:
INFO:iMPACT - 0011 0111 1011 1000 0000 0000 0000 0000
INFO:iMPACT - 0011 0111 1011 1000 0000 0000 0000 0000
INFO:iMPACT:579 - '3': Completed downloading bit file to device.
INFO:iMPACT:579 - '3': Completed downloading bit file to device.
INFO:iMPACT:580 - '3':Checking done pin ....done.
INFO:iMPACT:580 - '3':Checking done pin ....done.
'3': Programmed successfully.
'3': Programmed successfully.
Elapsed time =      3 sec.
Elapsed time =      3 sec.
// *** BATCH CMD : quit
// *** BATCH CMD : quit
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
Done!
Done!
At Local date and time: Thu Jul 06 22:10:53 2006
At Local date and time: Thu Jul 06 22:10:53 2006
 xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make download; exit;" started...
 xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make download; exit;" started...
*********************************************
*********************************************
Downloading Bitstream onto the target board
Downloading Bitstream onto the target board
*********************************************
*********************************************
impact -batch etc/download.cmd
impact -batch etc/download.cmd
Release 8.1i - iMPACT I.24
Release 8.1i - iMPACT I.24
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
// *** BATCH CMD : setMode -bs
// *** BATCH CMD : setMode -bs
// *** BATCH CMD : setCable -port auto
// *** BATCH CMD : setCable -port auto
AutoDetecting cable. Please wait.
AutoDetecting cable. Please wait.
Connecting to cable (Parallel Port - LPT1).
Connecting to cable (Parallel Port - LPT1).
Checking cable driver.
Checking cable driver.
 Driver windrvr6.sys version = 7.0.0.0. LPT base address = 0378h.
 Driver windrvr6.sys version = 7.0.0.0. LPT base address = 0378h.
 ECP base address = 0778h.
 ECP base address = 0778h.
 ECP hardware is detected.
 ECP hardware is detected.
Cable connection established.
Cable connection established.
Connecting to cable (Parallel Port - LPT1) in ECP mode.
Connecting to cable (Parallel Port - LPT1) in ECP mode.
Checking cable driver.
Checking cable driver.
 Driver xpc4drvr.sys version = 1.0.4.0. LPT base address = 0378h.
 Driver xpc4drvr.sys version = 1.0.4.0. LPT base address = 0378h.
 Cable Type = 1, Revision = 3.
 Cable Type = 1, Revision = 3.
 Setting cable speed to 5 MHz.
 Setting cable speed to 5 MHz.
Cable connection established.
Cable connection established.
// *** BATCH CMD : identify
// *** BATCH CMD : identify
Identifying chain contents ....Version is 0001
Identifying chain contents ....Version is 0001
'1': : Manufacturer's ID =Xilinx xc2vp30, Version : 1
'1': : Manufacturer's ID =Xilinx xc2vp30, Version : 1
PMSPEC -- Overriding Xilinx file  with local
PMSPEC -- Overriding Xilinx file  with local
file 
file 
INFO:iMPACT:1777 -
INFO:iMPACT:1777 -
   Reading c:/ISE/virtex2p/data/xc2vp30.bsd...
   Reading c:/ISE/virtex2p/data/xc2vp30.bsd...
INFO:iMPACT:501 - '1': Added Device xc2vp30 successfully.
INFO:iMPACT:501 - '1': Added Device xc2vp30 successfully.
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
Version is 0000
Version is 0000
'2': : Manufacturer's ID =Xilinx xccace, Version : 0
'2': : Manufacturer's ID =Xilinx xccace, Version : 0
INFO:iMPACT:1777 -
INFO:iMPACT:1777 -
   Reading c:/ISE/acecf/data/xccace.bsd...
   Reading c:/ISE/acecf/data/xccace.bsd...
INFO:iMPACT:501 - '1': Added Device xccace successfully.
INFO:iMPACT:501 - '1': Added Device xccace successfully.
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
Version is 1111
Version is 1111
'3': : Manufacturer's ID =Xilinx xcf32p, Version : 15
'3': : Manufacturer's ID =Xilinx xcf32p, Version : 15
INFO:iMPACT:1777 -
INFO:iMPACT:1777 -
   Reading c:/ISE/xcfp/data/xcf32p.bsd...
   Reading c:/ISE/xcfp/data/xcf32p.bsd...
INFO:iMPACT:501 - '1': Added Device xcf32p successfully.
INFO:iMPACT:501 - '1': Added Device xcf32p successfully.
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
done.
done.
[
[
  1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1
  1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1
]
]
[
[
  0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1
  0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1
]
]
Chain TCK freq = 10000000.
Chain TCK freq = 10000000.
Validating chain...
Validating chain...
Boundary-scan chain validated successfully.
Boundary-scan chain validated successfully.
Elapsed time =      1 sec.
Elapsed time =      1 sec.
// *** BATCH CMD : identifyMPM
// *** BATCH CMD : identifyMPM
Elapsed time =      0 sec.
Elapsed time =      0 sec.
// *** BATCH CMD : assignFile -p 3 -file "implementation/download.bit"
// *** BATCH CMD : assignFile -p 3 -file "implementation/download.bit"
'3': Loading file 'implementation/download.bit' ...
'3': Loading file 'implementation/download.bit' ...
done.
done.
INFO:iMPACT:501 - '3': Added Device xc2vp30 successfully.
INFO:iMPACT:501 - '3': Added Device xc2vp30 successfully.
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
// *** BATCH CMD : program -p 3
// *** BATCH CMD : program -p 3
Chain TCK freq = 10000000.
Chain TCK freq = 10000000.
Validating chain...
Validating chain...
Boundary-scan chain validated successfully.
Boundary-scan chain validated successfully.
'3':Programming  device...
'3':Programming  device...
done.
done.
'3': Reading status register contents...
'3': Reading status register contents...
CRC error                                         :         0
CRC error                                         :         0
Decryptor security set                            :         0
Decryptor security set                            :         0
DCM locked                                        :         1
DCM locked                                        :         1
DCI matched                                       :         1
DCI matched                                       :         1
legacy input error                                :         0
legacy input error                                :         0
status of GTS_CFG_B                               :         1
status of GTS_CFG_B                               :         1
status of GWE                                     :         1
status of GWE                                     :         1
status of GHIGH                                   :         1
status of GHIGH                                   :         1
value of MODE pin M0                              :         1
value of MODE pin M0                              :         1
value of MODE pin M1                              :         0
value of MODE pin M1                              :         0
value of MODE pin M2                              :         1
value of MODE pin M2                              :         1
value of CFG_RDY (INIT_B)                         :         1
value of CFG_RDY (INIT_B)                         :         1
DONEIN input from DONE pin                        :         1
DONEIN input from DONE pin                        :         1
IDCODE not validated while trying to write FDRI   :         0
IDCODE not validated while trying to write FDRI   :         0
write FDRI issued before or after decrypt operation:         0
write FDRI issued before or after decrypt operation:         0
Decryptor keys not used in proper sequence        :         0
Decryptor keys not used in proper sequence        :         0
INFO:iMPACT:2219 - Status register values:
INFO:iMPACT:2219 - Status register values:
INFO:iMPACT - 0011 0111 1011 1000 0000 0000 0000 0000
INFO:iMPACT - 0011 0111 1011 1000 0000 0000 0000 0000
INFO:iMPACT:579 - '3': Completed downloading bit file to device.
INFO:iMPACT:579 - '3': Completed downloading bit file to device.
INFO:iMPACT:580 - '3':Checking done pin ....done.
INFO:iMPACT:580 - '3':Checking done pin ....done.
'3': Programmed successfully.
'3': Programmed successfully.
Elapsed time =      3 sec.
Elapsed time =      3 sec.
// *** BATCH CMD : quit
// *** BATCH CMD : quit
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
Done!
Done!
At Local date and time: Thu Jul 06 22:11:47 2006
At Local date and time: Thu Jul 06 22:11:47 2006
 xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make download; exit;" started...
 xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make download; exit;" started...
*********************************************
*********************************************
Downloading Bitstream onto the target board
Downloading Bitstream onto the target board
*********************************************
*********************************************
impact -batch etc/download.cmd
impact -batch etc/download.cmd
Release 8.1i - iMPACT I.24
Release 8.1i - iMPACT I.24
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
// *** BATCH CMD : setMode -bs
// *** BATCH CMD : setMode -bs
// *** BATCH CMD : setCable -port auto
// *** BATCH CMD : setCable -port auto
AutoDetecting cable. Please wait.
AutoDetecting cable. Please wait.
Connecting to cable (Parallel Port - LPT1).
Connecting to cable (Parallel Port - LPT1).
Checking cable driver.
Checking cable driver.
 Driver windrvr6.sys version = 7.0.0.0. LPT base address = 0378h.
 Driver windrvr6.sys version = 7.0.0.0. LPT base address = 0378h.
 ECP base address = 0778h.
 ECP base address = 0778h.
 ECP hardware is detected.
 ECP hardware is detected.
Cable connection established.
Cable connection established.
Connecting to cable (Parallel Port - LPT1) in ECP mode.
Connecting to cable (Parallel Port - LPT1) in ECP mode.
Checking cable driver.
Checking cable driver.
 Driver xpc4drvr.sys version = 1.0.4.0. LPT base address = 0378h.
 Driver xpc4drvr.sys version = 1.0.4.0. LPT base address = 0378h.
 DeviceIoControl LPT_WRITE_CMD_BUFFER Failed.
 DeviceIoControl LPT_WRITE_CMD_BUFFER Failed.
Loopback test failed. Sent character = 00, Received character = 00.
Loopback test failed. Sent character = 00, Received character = 00.
The hardware may be malfunctioning or the BIOS setting for the Parallel port is
The hardware may be malfunctioning or the BIOS setting for the Parallel port is
not set to ECP mode.
not set to ECP mode.
Cable connection failed.
Cable connection failed.
Connecting to cable (Parallel Port - LPT1).
Connecting to cable (Parallel Port - LPT1).
Checking cable driver.
Checking cable driver.
 Driver windrvr6.sys version = 7.0.0.0. LPT base address = 0378h.
 Driver windrvr6.sys version = 7.0.0.0. LPT base address = 0378h.
 ECP base address = 0778h.
 ECP base address = 0778h.
Cable connection established.
Cable connection established.
ECP port test failed. Using download cable in compatibility mode.
ECP port test failed. Using download cable in compatibility mode.
// *** BATCH CMD : identify
// *** BATCH CMD : identify
Identifying chain contents ....Version is 0001
Identifying chain contents ....Version is 0001
'1': : Manufacturer's ID =Xilinx xc2vp30, Version : 1
'1': : Manufacturer's ID =Xilinx xc2vp30, Version : 1
PMSPEC -- Overriding Xilinx file  with local
PMSPEC -- Overriding Xilinx file  with local
file 
file 
INFO:iMPACT:1777 -
INFO:iMPACT:1777 -
   Reading c:/ISE/virtex2p/data/xc2vp30.bsd...
   Reading c:/ISE/virtex2p/data/xc2vp30.bsd...
INFO:iMPACT:501 - '1': Added Device xc2vp30 successfully.
INFO:iMPACT:501 - '1': Added Device xc2vp30 successfully.
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
Version is 0000
Version is 0000
'2': : Manufacturer's ID =Xilinx xccace, Version : 0
'2': : Manufacturer's ID =Xilinx xccace, Version : 0
INFO:iMPACT:1777 -
INFO:iMPACT:1777 -
   Reading c:/ISE/acecf/data/xccace.bsd...
   Reading c:/ISE/acecf/data/xccace.bsd...
INFO:iMPACT:501 - '1': Added Device xccace successfully.
INFO:iMPACT:501 - '1': Added Device xccace successfully.
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
Version is 1111
Version is 1111
'3': : Manufacturer's ID =Xilinx xcf32p, Version : 15
'3': : Manufacturer's ID =Xilinx xcf32p, Version : 15
INFO:iMPACT:1777 -
INFO:iMPACT:1777 -
   Reading c:/ISE/xcfp/data/xcf32p.bsd...
   Reading c:/ISE/xcfp/data/xcf32p.bsd...
INFO:iMPACT:501 - '1': Added Device xcf32p successfully.
INFO:iMPACT:501 - '1': Added Device xcf32p successfully.
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
done.
done.
[
[
  1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1
  1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1
]
]
[
[
  0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1
  0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1
]
]
Chain TCK freq = 0.
Chain TCK freq = 0.
Validating chain...
Validating chain...
Boundary-scan chain validated successfully.
Boundary-scan chain validated successfully.
Elapsed time =      1 sec.
Elapsed time =      1 sec.
// *** BATCH CMD : identifyMPM
// *** BATCH CMD : identifyMPM
Elapsed time =      0 sec.
Elapsed time =      0 sec.
// *** BATCH CMD : assignFile -p 3 -file "implementation/download.bit"
// *** BATCH CMD : assignFile -p 3 -file "implementation/download.bit"
'3': Loading file 'implementation/download.bit' ...
'3': Loading file 'implementation/download.bit' ...
done.
done.
INFO:iMPACT:501 - '3': Added Device xc2vp30 successfully.
INFO:iMPACT:501 - '3': Added Device xc2vp30 successfully.
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
// *** BATCH CMD : program -p 3
// *** BATCH CMD : program -p 3
Chain TCK freq = 0.
Chain TCK freq = 0.
Validating chain...
Validating chain...
Boundary-scan chain validated successfully.
Boundary-scan chain validated successfully.
'3':Programming  device...
'3':Programming  device...
Xilinx Platform Studio (XPS)
Xilinx Platform Studio (XPS)
Xilinx EDK 8.1 Build EDK_I.18.7
Xilinx EDK 8.1 Build EDK_I.18.7
Copyright (c) 1995-2006 Xilinx, Inc.  All rights reserved.
Copyright (c) 1995-2006 Xilinx, Inc.  All rights reserved.
At Local date and time: Thu Jul 06 22:18:32 2006
At Local date and time: Thu Jul 06 22:18:32 2006
 xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make download; exit;" started...
 xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make download; exit;" started...
*********************************************
*********************************************
Downloading Bitstream onto the target board
Downloading Bitstream onto the target board
*********************************************
*********************************************
impact -batch etc/download.cmd
impact -batch etc/download.cmd
Release 8.1i - iMPACT I.24
Release 8.1i - iMPACT I.24
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
// *** BATCH CMD : setMode -bs
// *** BATCH CMD : setMode -bs
// *** BATCH CMD : setCable -port auto
// *** BATCH CMD : setCable -port auto
AutoDetecting cable. Please wait.
AutoDetecting cable. Please wait.
Connecting to cable (Parallel Port - LPT1).
Connecting to cable (Parallel Port - LPT1).
Checking cable driver.
Checking cable driver.
 Driver windrvr6.sys version = 7.0.0.0. LPT base address = 0378h.
 Driver windrvr6.sys version = 7.0.0.0. LPT base address = 0378h.
 ECP base address = 0778h.
 ECP base address = 0778h.
 ECP hardware is detected.
 ECP hardware is detected.
Cable connection established.
Cable connection established.
Connecting to cable (Parallel Port - LPT1) in ECP mode.
Connecting to cable (Parallel Port - LPT1) in ECP mode.
Checking cable driver.
Checking cable driver.
 Driver xpc4drvr.sys version = 1.0.4.0. LPT base address = 0378h.
 Driver xpc4drvr.sys version = 1.0.4.0. LPT base address = 0378h.
 Cable Type = 1, Revision = 3.
 Cable Type = 1, Revision = 3.
 Setting cable speed to 5 MHz.
 Setting cable speed to 5 MHz.
Cable connection established.
Cable connection established.
// *** BATCH CMD : identify
// *** BATCH CMD : identify
Identifying chain contents ....Version is 0001
Identifying chain contents ....Version is 0001
'1': : Manufacturer's ID =Xilinx xc2vp30, Version : 1
'1': : Manufacturer's ID =Xilinx xc2vp30, Version : 1
PMSPEC -- Overriding Xilinx file  with local
PMSPEC -- Overriding Xilinx file  with local
file 
file 
INFO:iMPACT:1777 -
INFO:iMPACT:1777 -
   Reading c:/ISE/virtex2p/data/xc2vp30.bsd...
   Reading c:/ISE/virtex2p/data/xc2vp30.bsd...
INFO:iMPACT:501 - '1': Added Device xc2vp30 successfully.
INFO:iMPACT:501 - '1': Added Device xc2vp30 successfully.
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
Version is 0000
Version is 0000
'2': : Manufacturer's ID =Xilinx xccace, Version : 0
'2': : Manufacturer's ID =Xilinx xccace, Version : 0
INFO:iMPACT:1777 -
INFO:iMPACT:1777 -
   Reading c:/ISE/acecf/data/xccace.bsd...
   Reading c:/ISE/acecf/data/xccace.bsd...
INFO:iMPACT:501 - '1': Added Device xccace successfully.
INFO:iMPACT:501 - '1': Added Device xccace successfully.
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
Version is 1111
Version is 1111
'3': : Manufacturer's ID =Xilinx xcf32p, Version : 15
'3': : Manufacturer's ID =Xilinx xcf32p, Version : 15
INFO:iMPACT:1777 -
INFO:iMPACT:1777 -
   Reading c:/ISE/xcfp/data/xcf32p.bsd...
   Reading c:/ISE/xcfp/data/xcf32p.bsd...
INFO:iMPACT:501 - '1': Added Device xcf32p successfully.
INFO:iMPACT:501 - '1': Added Device xcf32p successfully.
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
done.
done.
[
[
  1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1
  1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1
]
]
[
[
  0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1
  0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1
]
]
Chain TCK freq = 10000000.
Chain TCK freq = 10000000.
Validating chain...
Validating chain...
Boundary-scan chain validated successfully.
Boundary-scan chain validated successfully.
Elapsed time =      1 sec.
Elapsed time =      1 sec.
// *** BATCH CMD : identifyMPM
// *** BATCH CMD : identifyMPM
Elapsed time =      0 sec.
Elapsed time =      0 sec.
// *** BATCH CMD : assignFile -p 3 -file "implementation/download.bit"
// *** BATCH CMD : assignFile -p 3 -file "implementation/download.bit"
'3': Loading file 'implementation/download.bit' ...
'3': Loading file 'implementation/download.bit' ...
done.
done.
INFO:iMPACT:501 - '3': Added Device xc2vp30 successfully.
INFO:iMPACT:501 - '3': Added Device xc2vp30 successfully.
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
// *** BATCH CMD : program -p 3
// *** BATCH CMD : program -p 3
Chain TCK freq = 10000000.
Chain TCK freq = 10000000.
Validating chain...
Validating chain...
Boundary-scan chain validated successfully.
Boundary-scan chain validated successfully.
'3':Programming  device...
'3':Programming  device...
done.
done.
'3': Reading status register contents...
'3': Reading status register contents...
CRC error                                         :         0
CRC error                                         :         0
Decryptor security set                            :         0
Decryptor security set                            :         0
DCM locked                                        :         1
DCM locked                                        :         1
DCI matched                                       :         1
DCI matched                                       :         1
legacy input error                                :         0
legacy input error                                :         0
status of GTS_CFG_B                               :         1
status of GTS_CFG_B                               :         1
status of GWE                                     :         1
status of GWE                                     :         1
status of GHIGH                                   :         1
status of GHIGH                                   :         1
value of MODE pin M0                              :         1
value of MODE pin M0                              :         1
value of MODE pin M1                              :         0
value of MODE pin M1                              :         0
value of MODE pin M2                              :         1
value of MODE pin M2                              :         1
value of CFG_RDY (INIT_B)                         :         1
value of CFG_RDY (INIT_B)                         :         1
DONEIN input from DONE pin                        :         1
DONEIN input from DONE pin                        :         1
IDCODE not validated while trying to write FDRI   :         0
IDCODE not validated while trying to write FDRI   :         0
write FDRI issued before or after decrypt operation:         0
write FDRI issued before or after decrypt operation:         0
Decryptor keys not used in proper sequence        :         0
Decryptor keys not used in proper sequence        :         0
INFO:iMPACT:2219 - Status register values:
INFO:iMPACT:2219 - Status register values:
INFO:iMPACT - 0011 0111 1011 1000 0000 0000 0000 0000
INFO:iMPACT - 0011 0111 1011 1000 0000 0000 0000 0000
INFO:iMPACT:579 - '3': Completed downloading bit file to device.
INFO:iMPACT:579 - '3': Completed downloading bit file to device.
INFO:iMPACT:580 - '3':Checking done pin ....done.
INFO:iMPACT:580 - '3':Checking done pin ....done.
'3': Programmed successfully.
'3': Programmed successfully.
Elapsed time =      3 sec.
Elapsed time =      3 sec.
// *** BATCH CMD : quit
// *** BATCH CMD : quit
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
Done!
Done!
At Local date and time: Thu Jul 06 22:21:50 2006
At Local date and time: Thu Jul 06 22:21:50 2006
 xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make program; exit;" started...
 xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make program; exit;" started...
mb-gcc -O2 testbench1/tb1.c  -o Testbench1/executable.elf \
mb-gcc -O2 testbench1/tb1.c  -o Testbench1/executable.elf \
    -mno-xl-soft-mul      -g    -I./microblaze_0/include/  -Itestbench1/  -L./microblaze_0/lib/  \
    -mno-xl-soft-mul      -g    -I./microblaze_0/include/  -Itestbench1/  -L./microblaze_0/lib/  \
mb-size Testbench1/executable.elf
mb-size Testbench1/executable.elf
   text    data     bss     dec     hex filename
   text    data     bss     dec     hex filename
  21596     144   13832   35572    8af4 Testbench1/executable.elf
  21596     144   13832   35572    8af4 Testbench1/executable.elf
Done!
Done!
At Local date and time: Thu Jul 06 22:22:08 2006
At Local date and time: Thu Jul 06 22:22:08 2006
 xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make download; exit;" started...
 xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make download; exit;" started...
*********************************************
*********************************************
Initializing BRAM contents of the bitstream
Initializing BRAM contents of the bitstream
*********************************************
*********************************************
bitinit system.mhs -lp D:/XilinxXUP/lib/ -pe microblaze_0 Testbench1/executable.elf  \
bitinit system.mhs -lp D:/XilinxXUP/lib/ -pe microblaze_0 Testbench1/executable.elf  \
-bt implementation/system.bit -o implementation/download.bit
-bt implementation/system.bit -o implementation/download.bit
bitinit version Xilinx EDK 8.1 Build EDK_I.18.7
bitinit version Xilinx EDK 8.1 Build EDK_I.18.7
Copyright (c) Xilinx Inc. 2002.
Copyright (c) Xilinx Inc. 2002.
Parsing MHS File system.mhs...
Parsing MHS File system.mhs...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/microblaze_v4_00_a/data/microblaze_v2_1_0.
C:/EDK/hw/XilinxProcessorIPLib/pcores/microblaze_v4_00_a/data/microblaze_v2_1_0.
tcl ...
tcl ...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_mdm_v2_00_a/data/opb_mdm_v2_1_0.tcl
C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_mdm_v2_00_a/data/opb_mdm_v2_1_0.tcl
...
...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_v10_v1_00_a/data/lmb_v10_v2_1_0.tcl
C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_v10_v1_00_a/data/lmb_v10_v2_1_0.tcl
...
...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_bram_if_cntlr_v1_00_b/data/lmb_bram_if
C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_bram_if_cntlr_v1_00_b/data/lmb_bram_if
_cntlr_v2_1_0.tcl ...
_cntlr_v2_1_0.tcl ...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_sysace_v1_00_c/data/opb_sysace_v2_1_0.
C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_sysace_v1_00_c/data/opb_sysace_v2_1_0.
tcl ...
tcl ...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/dcm_module_v1_00_a/data/dcm_module_v2_1_0.
C:/EDK/hw/XilinxProcessorIPLib/pcores/dcm_module_v1_00_a/data/dcm_module_v2_1_0.
tcl ...
tcl ...
Overriding IP level properties ...
Overriding IP level properties ...
microblaze (microblaze_0) -
microblaze (microblaze_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
mpd line 63 - tool overriding c_family value virtex2 to
mpd line 63 - tool overriding c_family value virtex2 to
microblaze (microblaze_0) -
microblaze (microblaze_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
mpd line 64 - tool overriding c_instance value microblaze to microblaze_0
mpd line 64 - tool overriding c_instance value microblaze to microblaze_0
microblaze (microblaze_0) -
microblaze (microblaze_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
mpd:93 - tcl overriding C_ADDR_TAG_BITS value 17 to 0
mpd:93 - tcl overriding C_ADDR_TAG_BITS value 17 to 0
microblaze (microblaze_0) -
microblaze (microblaze_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
mpd:100 - tcl overriding C_DCACHE_ADDR_TAG value 17 to 0
mpd:100 - tcl overriding C_DCACHE_ADDR_TAG value 17 to 0
opb_mdm (debug_module) -
opb_mdm (debug_module) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_mdm_v2_00_a\data\opb_mdm_v2_1_0.mpd
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_mdm_v2_00_a\data\opb_mdm_v2_1_0.mpd
line 42 - tool overriding c_family value virtex2 to
line 42 - tool overriding c_family value virtex2 to
bram_block (lmb_bram) -
bram_block (lmb_bram) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
mpd line 42 - tool overriding c_family value virtex2 to
mpd line 42 - tool overriding c_family value virtex2 to
opb_gpio (leds_4bit) -
opb_gpio (leds_4bit) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd
line 41 - tool overriding c_family value virtex2 to
line 41 - tool overriding c_family value virtex2 to
opb_gpio (dipsws_4bit) -
opb_gpio (dipsws_4bit) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd
line 41 - tool overriding c_family value virtex2 to
line 41 - tool overriding c_family value virtex2 to
opb_gpio (pushbuttons_5bit) -
opb_gpio (pushbuttons_5bit) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd
line 41 - tool overriding c_family value virtex2 to
line 41 - tool overriding c_family value virtex2 to
dcm_module (dcm_0) -
dcm_module (dcm_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\dcm_module_v1_00_a\data\dcm_module_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\dcm_module_v1_00_a\data\dcm_module_v2_1_0.
mpd line 60 - tool overriding c_family value virtex2 to
mpd line 60 - tool overriding c_family value virtex2 to
bram_block (data_bram_0) -
bram_block (data_bram_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
mpd line 42 - tool overriding c_family value virtex2 to
mpd line 42 - tool overriding c_family value virtex2 to
bram_block (data_bram_1) -
bram_block (data_bram_1) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
mpd line 42 - tool overriding c_family value virtex2 to
mpd line 42 - tool overriding c_family value virtex2 to
Performing IP level DRCs on properties...
Performing IP level DRCs on properties...
Running DRC Tcl procedures for OPTION IPLEVEL_DRC_PROC...
Running DRC Tcl procedures for OPTION IPLEVEL_DRC_PROC...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
2_1_0.tcl ...
2_1_0.tcl ...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
2_1_0.tcl ...
2_1_0.tcl ...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
2_1_0.tcl ...
2_1_0.tcl ...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
2_1_0.tcl ...
2_1_0.tcl ...
Address Map for Processor microblaze_0
Address Map for Processor microblaze_0
  (0x00000000-0x0000ffff) dlmb_cntlr    dlmb
  (0x00000000-0x0000ffff) dlmb_cntlr    dlmb
  (0x00000000-0x0000ffff) ilmb_cntlr    ilmb
  (0x00000000-0x0000ffff) ilmb_cntlr    ilmb
  (0x40000000-0x4000ffff) PushButtons_5Bit      mb_opb
  (0x40000000-0x4000ffff) PushButtons_5Bit      mb_opb
  (0x40020000-0x4002ffff) LEDs_4Bit     mb_opb
  (0x40020000-0x4002ffff) LEDs_4Bit     mb_opb
  (0x40040000-0x4004ffff) DIPSWs_4Bit   mb_opb
  (0x40040000-0x4004ffff) DIPSWs_4Bit   mb_opb
  (0x40600000-0x4060ffff) RS232_Uart_1  mb_opb
  (0x40600000-0x4060ffff) RS232_Uart_1  mb_opb
  (0x41400000-0x4140ffff) debug_module  mb_opb
  (0x41400000-0x4140ffff) debug_module  mb_opb
  (0x41800000-0x4180ffff) SysACE_CompactFlash   mb_opb
  (0x41800000-0x4180ffff) SysACE_CompactFlash   mb_opb
  (0x70000000-0x7000ffff) data_bram_if_cntlr_0  dlmb
  (0x70000000-0x7000ffff) data_bram_if_cntlr_0  dlmb
  (0x70010000-0x7001ffff) data_bram_if_cntlr_1  dlmb
  (0x70010000-0x7001ffff) data_bram_if_cntlr_1  dlmb
Initializing Memory...
Initializing Memory...
Checking ELFs associated with MICROBLAZE instance microblaze_0 for overlap...
Checking ELFs associated with MICROBLAZE instance microblaze_0 for overlap...
Analyzing file Testbench1/executable.elf...
Analyzing file Testbench1/executable.elf...
INFO:MDT - BRAM lmb_bram will be initialized with ELF of processor microblaze_0
INFO:MDT - BRAM lmb_bram will be initialized with ELF of processor microblaze_0
Running Data2Mem with the following command:
Running Data2Mem with the following command:
data2mem -bm implementation/system_bd -bt implementation/system.bit  -bd
data2mem -bm implementation/system_bd -bt implementation/system.bit  -bd
Testbench1/executable.elf tag data_bram_0 data_bram_1 lmb_bram  -o b
Testbench1/executable.elf tag data_bram_0 data_bram_1 lmb_bram  -o b
implementation/download.bit
implementation/download.bit
Memory Initialization completed successfully.
Memory Initialization completed successfully.
*********************************************
*********************************************
Downloading Bitstream onto the target board
Downloading Bitstream onto the target board
*********************************************
*********************************************
impact -batch etc/download.cmd
impact -batch etc/download.cmd
Release 8.1i - iMPACT I.24
Release 8.1i - iMPACT I.24
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
// *** BATCH CMD : setMode -bs
// *** BATCH CMD : setMode -bs
// *** BATCH CMD : setCable -port auto
// *** BATCH CMD : setCable -port auto
AutoDetecting cable. Please wait.
AutoDetecting cable. Please wait.
Connecting to cable (Parallel Port - LPT1).
Connecting to cable (Parallel Port - LPT1).
Checking cable driver.
Checking cable driver.
 Driver windrvr6.sys version = 7.0.0.0. LPT base address = 0378h.
 Driver windrvr6.sys version = 7.0.0.0. LPT base address = 0378h.
 ECP base address = 0778h.
 ECP base address = 0778h.
 ECP hardware is detected.
 ECP hardware is detected.
Cable connection established.
Cable connection established.
Connecting to cable (Parallel Port - LPT1) in ECP mode.
Connecting to cable (Parallel Port - LPT1) in ECP mode.
Checking cable driver.
Checking cable driver.
 Driver xpc4drvr.sys version = 1.0.4.0. LPT base address = 0378h.
 Driver xpc4drvr.sys version = 1.0.4.0. LPT base address = 0378h.
 Cable Type = 1, Revision = 3.
 Cable Type = 1, Revision = 3.
 Setting cable speed to 5 MHz.
 Setting cable speed to 5 MHz.
Cable connection established.
Cable connection established.
// *** BATCH CMD : identify
// *** BATCH CMD : identify
Identifying chain contents ....Version is 0001
Identifying chain contents ....Version is 0001
'1': : Manufacturer's ID =Xilinx xc2vp30, Version : 1
'1': : Manufacturer's ID =Xilinx xc2vp30, Version : 1
PMSPEC -- Overriding Xilinx file  with local
PMSPEC -- Overriding Xilinx file  with local
file 
file 
INFO:iMPACT:1777 -
INFO:iMPACT:1777 -
   Reading c:/ISE/virtex2p/data/xc2vp30.bsd...
   Reading c:/ISE/virtex2p/data/xc2vp30.bsd...
INFO:iMPACT:501 - '1': Added Device xc2vp30 successfully.
INFO:iMPACT:501 - '1': Added Device xc2vp30 successfully.
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
Version is 0000
Version is 0000
'2': : Manufacturer's ID =Xilinx xccace, Version : 0
'2': : Manufacturer's ID =Xilinx xccace, Version : 0
INFO:iMPACT:1777 -
INFO:iMPACT:1777 -
   Reading c:/ISE/acecf/data/xccace.bsd...
   Reading c:/ISE/acecf/data/xccace.bsd...
INFO:iMPACT:501 - '1': Added Device xccace successfully.
INFO:iMPACT:501 - '1': Added Device xccace successfully.
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
Version is 1111
Version is 1111
'3': : Manufacturer's ID =Xilinx xcf32p, Version : 15
'3': : Manufacturer's ID =Xilinx xcf32p, Version : 15
INFO:iMPACT:1777 -
INFO:iMPACT:1777 -
   Reading c:/ISE/xcfp/data/xcf32p.bsd...
   Reading c:/ISE/xcfp/data/xcf32p.bsd...
INFO:iMPACT:501 - '1': Added Device xcf32p successfully.
INFO:iMPACT:501 - '1': Added Device xcf32p successfully.
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
done.
done.
[
[
  1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1
  1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1
]
]
[
[
  0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1
  0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1
]
]
Chain TCK freq = 10000000.
Chain TCK freq = 10000000.
Validating chain...
Validating chain...
Boundary-scan chain validated successfully.
Boundary-scan chain validated successfully.
Elapsed time =      0 sec.
Elapsed time =      0 sec.
// *** BATCH CMD : identifyMPM
// *** BATCH CMD : identifyMPM
Elapsed time =      0 sec.
Elapsed time =      0 sec.
// *** BATCH CMD : assignFile -p 3 -file "implementation/download.bit"
// *** BATCH CMD : assignFile -p 3 -file "implementation/download.bit"
'3': Loading file 'implementation/download.bit' ...
'3': Loading file 'implementation/download.bit' ...
done.
done.
INFO:iMPACT:501 - '3': Added Device xc2vp30 successfully.
INFO:iMPACT:501 - '3': Added Device xc2vp30 successfully.
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
// *** BATCH CMD : program -p 3
// *** BATCH CMD : program -p 3
Chain TCK freq = 10000000.
Chain TCK freq = 10000000.
Validating chain...
Validating chain...
Boundary-scan chain validated successfully.
Boundary-scan chain validated successfully.
'3':Programming  device...
'3':Programming  device...
done.
done.
'3': Reading status register contents...
'3': Reading status register contents...
CRC error                                         :         0
CRC error                                         :         0
Decryptor security set                            :         0
Decryptor security set                            :         0
DCM locked                                        :         1
DCM locked                                        :         1
DCI matched                                       :         1
DCI matched                                       :         1
legacy input error                                :         0
legacy input error                                :         0
status of GTS_CFG_B                               :         1
status of GTS_CFG_B                               :         1
status of GWE                                     :         1
status of GWE                                     :         1
status of GHIGH                                   :         1
status of GHIGH                                   :         1
value of MODE pin M0                              :         1
value of MODE pin M0                              :         1
value of MODE pin M1                              :         0
value of MODE pin M1                              :         0
value of MODE pin M2                              :         1
value of MODE pin M2                              :         1
value of CFG_RDY (INIT_B)                         :         1
value of CFG_RDY (INIT_B)                         :         1
DONEIN input from DONE pin                        :         1
DONEIN input from DONE pin                        :         1
IDCODE not validated while trying to write FDRI   :         0
IDCODE not validated while trying to write FDRI   :         0
write FDRI issued before or after decrypt operation:         0
write FDRI issued before or after decrypt operation:         0
Decryptor keys not used in proper sequence        :         0
Decryptor keys not used in proper sequence        :         0
INFO:iMPACT:2219 - Status register values:
INFO:iMPACT:2219 - Status register values:
INFO:iMPACT - 0011 0111 1011 1000 0000 0000 0000 0000
INFO:iMPACT - 0011 0111 1011 1000 0000 0000 0000 0000
INFO:iMPACT:579 - '3': Completed downloading bit file to device.
INFO:iMPACT:579 - '3': Completed downloading bit file to device.
INFO:iMPACT:580 - '3':Checking done pin ....done.
INFO:iMPACT:580 - '3':Checking done pin ....done.
'3': Programmed successfully.
'3': Programmed successfully.
Elapsed time =      3 sec.
Elapsed time =      3 sec.
// *** BATCH CMD : quit
// *** BATCH CMD : quit
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
Done!
Done!
Done.
Done.
Linker Script generated successfully.
Linker Script generated successfully.
At Local date and time: Thu Jul 06 22:25:58 2006
At Local date and time: Thu Jul 06 22:25:58 2006
 xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make program; exit;" started...
 xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make program; exit;" started...
mb-gcc -O2 testbench1/tb1.c  -o Testbench1/executable.elf \
mb-gcc -O2 testbench1/tb1.c  -o Testbench1/executable.elf \
    -mno-xl-soft-mul     -Wl,-T -Wl,Testbench1_linker_script.ld  -g    -I./microblaze_0/include/  -Itestbench1/  -L./microblaze_0/lib/  \
    -mno-xl-soft-mul     -Wl,-T -Wl,Testbench1_linker_script.ld  -g    -I./microblaze_0/include/  -Itestbench1/  -L./microblaze_0/lib/  \
mb-size Testbench1/executable.elf
mb-size Testbench1/executable.elf
   text    data     bss     dec     hex filename
   text    data     bss     dec     hex filename
  20724    1016   21000   42740    a6f4 Testbench1/executable.elf
  20724    1016   21000   42740    a6f4 Testbench1/executable.elf
Done!
Done!
At Local date and time: Thu Jul 06 22:26:10 2006
At Local date and time: Thu Jul 06 22:26:10 2006
 xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make download; exit;" started...
 xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make download; exit;" started...
*********************************************
*********************************************
Initializing BRAM contents of the bitstream
Initializing BRAM contents of the bitstream
*********************************************
*********************************************
bitinit system.mhs -lp D:/XilinxXUP/lib/ -pe microblaze_0 Testbench1/executable.elf  \
bitinit system.mhs -lp D:/XilinxXUP/lib/ -pe microblaze_0 Testbench1/executable.elf  \
-bt implementation/system.bit -o implementation/download.bit
-bt implementation/system.bit -o implementation/download.bit
bitinit version Xilinx EDK 8.1 Build EDK_I.18.7
bitinit version Xilinx EDK 8.1 Build EDK_I.18.7
Copyright (c) Xilinx Inc. 2002.
Copyright (c) Xilinx Inc. 2002.
Parsing MHS File system.mhs...
Parsing MHS File system.mhs...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/microblaze_v4_00_a/data/microblaze_v2_1_0.
C:/EDK/hw/XilinxProcessorIPLib/pcores/microblaze_v4_00_a/data/microblaze_v2_1_0.
tcl ...
tcl ...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_mdm_v2_00_a/data/opb_mdm_v2_1_0.tcl
C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_mdm_v2_00_a/data/opb_mdm_v2_1_0.tcl
...
...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_v10_v1_00_a/data/lmb_v10_v2_1_0.tcl
C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_v10_v1_00_a/data/lmb_v10_v2_1_0.tcl
...
...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_bram_if_cntlr_v1_00_b/data/lmb_bram_if
C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_bram_if_cntlr_v1_00_b/data/lmb_bram_if
_cntlr_v2_1_0.tcl ...
_cntlr_v2_1_0.tcl ...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_sysace_v1_00_c/data/opb_sysace_v2_1_0.
C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_sysace_v1_00_c/data/opb_sysace_v2_1_0.
tcl ...
tcl ...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/dcm_module_v1_00_a/data/dcm_module_v2_1_0.
C:/EDK/hw/XilinxProcessorIPLib/pcores/dcm_module_v1_00_a/data/dcm_module_v2_1_0.
tcl ...
tcl ...
Overriding IP level properties ...
Overriding IP level properties ...
microblaze (microblaze_0) -
microblaze (microblaze_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
mpd line 63 - tool overriding c_family value virtex2 to
mpd line 63 - tool overriding c_family value virtex2 to
microblaze (microblaze_0) -
microblaze (microblaze_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
mpd line 64 - tool overriding c_instance value microblaze to microblaze_0
mpd line 64 - tool overriding c_instance value microblaze to microblaze_0
microblaze (microblaze_0) -
microblaze (microblaze_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
mpd:93 - tcl overriding C_ADDR_TAG_BITS value 17 to 0
mpd:93 - tcl overriding C_ADDR_TAG_BITS value 17 to 0
microblaze (microblaze_0) -
microblaze (microblaze_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
mpd:100 - tcl overriding C_DCACHE_ADDR_TAG value 17 to 0
mpd:100 - tcl overriding C_DCACHE_ADDR_TAG value 17 to 0
opb_mdm (debug_module) -
opb_mdm (debug_module) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_mdm_v2_00_a\data\opb_mdm_v2_1_0.mpd
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_mdm_v2_00_a\data\opb_mdm_v2_1_0.mpd
line 42 - tool overriding c_family value virtex2 to
line 42 - tool overriding c_family value virtex2 to
bram_block (lmb_bram) -
bram_block (lmb_bram) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
mpd line 42 - tool overriding c_family value virtex2 to
mpd line 42 - tool overriding c_family value virtex2 to
opb_gpio (leds_4bit) -
opb_gpio (leds_4bit) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd
line 41 - tool overriding c_family value virtex2 to
line 41 - tool overriding c_family value virtex2 to
opb_gpio (dipsws_4bit) -
opb_gpio (dipsws_4bit) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd
line 41 - tool overriding c_family value virtex2 to
line 41 - tool overriding c_family value virtex2 to
opb_gpio (pushbuttons_5bit) -
opb_gpio (pushbuttons_5bit) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd
line 41 - tool overriding c_family value virtex2 to
line 41 - tool overriding c_family value virtex2 to
dcm_module (dcm_0) -
dcm_module (dcm_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\dcm_module_v1_00_a\data\dcm_module_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\dcm_module_v1_00_a\data\dcm_module_v2_1_0.
mpd line 60 - tool overriding c_family value virtex2 to
mpd line 60 - tool overriding c_family value virtex2 to
bram_block (data_bram_0) -
bram_block (data_bram_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
mpd line 42 - tool overriding c_family value virtex2 to
mpd line 42 - tool overriding c_family value virtex2 to
bram_block (data_bram_1) -
bram_block (data_bram_1) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
mpd line 42 - tool overriding c_family value virtex2 to
mpd line 42 - tool overriding c_family value virtex2 to
Performing IP level DRCs on properties...
Performing IP level DRCs on properties...
Running DRC Tcl procedures for OPTION IPLEVEL_DRC_PROC...
Running DRC Tcl procedures for OPTION IPLEVEL_DRC_PROC...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
2_1_0.tcl ...
2_1_0.tcl ...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
2_1_0.tcl ...
2_1_0.tcl ...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
2_1_0.tcl ...
2_1_0.tcl ...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
2_1_0.tcl ...
2_1_0.tcl ...
Address Map for Processor microblaze_0
Address Map for Processor microblaze_0
  (0x00000000-0x0000ffff) dlmb_cntlr    dlmb
  (0x00000000-0x0000ffff) dlmb_cntlr    dlmb
  (0x00000000-0x0000ffff) ilmb_cntlr    ilmb
  (0x00000000-0x0000ffff) ilmb_cntlr    ilmb
  (0x40000000-0x4000ffff) PushButtons_5Bit      mb_opb
  (0x40000000-0x4000ffff) PushButtons_5Bit      mb_opb
  (0x40020000-0x4002ffff) LEDs_4Bit     mb_opb
  (0x40020000-0x4002ffff) LEDs_4Bit     mb_opb
  (0x40040000-0x4004ffff) DIPSWs_4Bit   mb_opb
  (0x40040000-0x4004ffff) DIPSWs_4Bit   mb_opb
  (0x40600000-0x4060ffff) RS232_Uart_1  mb_opb
  (0x40600000-0x4060ffff) RS232_Uart_1  mb_opb
  (0x41400000-0x4140ffff) debug_module  mb_opb
  (0x41400000-0x4140ffff) debug_module  mb_opb
  (0x41800000-0x4180ffff) SysACE_CompactFlash   mb_opb
  (0x41800000-0x4180ffff) SysACE_CompactFlash   mb_opb
  (0x70000000-0x7000ffff) data_bram_if_cntlr_0  dlmb
  (0x70000000-0x7000ffff) data_bram_if_cntlr_0  dlmb
  (0x70010000-0x7001ffff) data_bram_if_cntlr_1  dlmb
  (0x70010000-0x7001ffff) data_bram_if_cntlr_1  dlmb
Initializing Memory...
Initializing Memory...
Checking ELFs associated with MICROBLAZE instance microblaze_0 for overlap...
Checking ELFs associated with MICROBLAZE instance microblaze_0 for overlap...
Analyzing file Testbench1/executable.elf...
Analyzing file Testbench1/executable.elf...
INFO:MDT - BRAM lmb_bram will be initialized with ELF of processor microblaze_0
INFO:MDT - BRAM lmb_bram will be initialized with ELF of processor microblaze_0
Running Data2Mem with the following command:
Running Data2Mem with the following command:
data2mem -bm implementation/system_bd -bt implementation/system.bit  -bd
data2mem -bm implementation/system_bd -bt implementation/system.bit  -bd
Testbench1/executable.elf tag data_bram_0 data_bram_1 lmb_bram  -o b
Testbench1/executable.elf tag data_bram_0 data_bram_1 lmb_bram  -o b
implementation/download.bit
implementation/download.bit
Memory Initialization completed successfully.
Memory Initialization completed successfully.
*********************************************
*********************************************
Downloading Bitstream onto the target board
Downloading Bitstream onto the target board
*********************************************
*********************************************
impact -batch etc/download.cmd
impact -batch etc/download.cmd
Release 8.1i - iMPACT I.24
Release 8.1i - iMPACT I.24
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
// *** BATCH CMD : setMode -bs
// *** BATCH CMD : setMode -bs
// *** BATCH CMD : setCable -port auto
// *** BATCH CMD : setCable -port auto
AutoDetecting cable. Please wait.
AutoDetecting cable. Please wait.
Connecting to cable (Parallel Port - LPT1).
Connecting to cable (Parallel Port - LPT1).
Checking cable driver.
Checking cable driver.
 Driver windrvr6.sys version = 7.0.0.0. LPT base address = 0378h.
 Driver windrvr6.sys version = 7.0.0.0. LPT base address = 0378h.
 ECP base address = 0778h.
 ECP base address = 0778h.
 ECP hardware is detected.
 ECP hardware is detected.
Cable connection established.
Cable connection established.
Connecting to cable (Parallel Port - LPT1) in ECP mode.
Connecting to cable (Parallel Port - LPT1) in ECP mode.
Checking cable driver.
Checking cable driver.
 Driver xpc4drvr.sys version = 1.0.4.0. LPT base address = 0378h.
 Driver xpc4drvr.sys version = 1.0.4.0. LPT base address = 0378h.
 Cable Type = 1, Revision = 3.
 Cable Type = 1, Revision = 3.
 Setting cable speed to 5 MHz.
 Setting cable speed to 5 MHz.
Cable connection established.
Cable connection established.
// *** BATCH CMD : identify
// *** BATCH CMD : identify
Identifying chain contents ....Version is 0001
Identifying chain contents ....Version is 0001
'1': : Manufacturer's ID =Xilinx xc2vp30, Version : 1
'1': : Manufacturer's ID =Xilinx xc2vp30, Version : 1
PMSPEC -- Overriding Xilinx file  with local
PMSPEC -- Overriding Xilinx file  with local
file 
file 
INFO:iMPACT:1777 -
INFO:iMPACT:1777 -
   Reading c:/ISE/virtex2p/data/xc2vp30.bsd...
   Reading c:/ISE/virtex2p/data/xc2vp30.bsd...
INFO:iMPACT:501 - '1': Added Device xc2vp30 successfully.
INFO:iMPACT:501 - '1': Added Device xc2vp30 successfully.
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
Version is 0000
Version is 0000
'2': : Manufacturer's ID =Xilinx xccace, Version : 0
'2': : Manufacturer's ID =Xilinx xccace, Version : 0
INFO:iMPACT:1777 -
INFO:iMPACT:1777 -
   Reading c:/ISE/acecf/data/xccace.bsd...
   Reading c:/ISE/acecf/data/xccace.bsd...
INFO:iMPACT:501 - '1': Added Device xccace successfully.
INFO:iMPACT:501 - '1': Added Device xccace successfully.
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
Version is 1111
Version is 1111
'3': : Manufacturer's ID =Xilinx xcf32p, Version : 15
'3': : Manufacturer's ID =Xilinx xcf32p, Version : 15
INFO:iMPACT:1777 -
INFO:iMPACT:1777 -
   Reading c:/ISE/xcfp/data/xcf32p.bsd...
   Reading c:/ISE/xcfp/data/xcf32p.bsd...
INFO:iMPACT:501 - '1': Added Device xcf32p successfully.
INFO:iMPACT:501 - '1': Added Device xcf32p successfully.
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
done.
done.
[
[
  1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1
  1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1
]
]
[
[
  0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1
  0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1
]
]
Chain TCK freq = 10000000.
Chain TCK freq = 10000000.
Validating chain...
Validating chain...
Boundary-scan chain validated successfully.
Boundary-scan chain validated successfully.
Elapsed time =      0 sec.
Elapsed time =      0 sec.
// *** BATCH CMD : identifyMPM
// *** BATCH CMD : identifyMPM
Elapsed time =      0 sec.
Elapsed time =      0 sec.
// *** BATCH CMD : assignFile -p 3 -file "implementation/download.bit"
// *** BATCH CMD : assignFile -p 3 -file "implementation/download.bit"
'3': Loading file 'implementation/download.bit' ...
'3': Loading file 'implementation/download.bit' ...
done.
done.
INFO:iMPACT:501 - '3': Added Device xc2vp30 successfully.
INFO:iMPACT:501 - '3': Added Device xc2vp30 successfully.
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
// *** BATCH CMD : program -p 3
// *** BATCH CMD : program -p 3
Chain TCK freq = 10000000.
Chain TCK freq = 10000000.
Validating chain...
Validating chain...
Boundary-scan chain validated successfully.
Boundary-scan chain validated successfully.
'3':Programming  device...
'3':Programming  device...
done.
done.
'3': Reading status register contents...
'3': Reading status register contents...
CRC error                                         :         0
CRC error                                         :         0
Decryptor security set                            :         0
Decryptor security set                            :         0
DCM locked                                        :         1
DCM locked                                        :         1
DCI matched                                       :         1
DCI matched                                       :         1
legacy input error                                :         0
legacy input error                                :         0
status of GTS_CFG_B                               :         1
status of GTS_CFG_B                               :         1
status of GWE                                     :         1
status of GWE                                     :         1
status of GHIGH                                   :         1
status of GHIGH                                   :         1
value of MODE pin M0                              :         1
value of MODE pin M0                              :         1
value of MODE pin M1                              :         0
value of MODE pin M1                              :         0
value of MODE pin M2                              :         1
value of MODE pin M2                              :         1
value of CFG_RDY (INIT_B)                         :         1
value of CFG_RDY (INIT_B)                         :         1
DONEIN input from DONE pin                        :         1
DONEIN input from DONE pin                        :         1
IDCODE not validated while trying to write FDRI   :         0
IDCODE not validated while trying to write FDRI   :         0
write FDRI issued before or after decrypt operation:         0
write FDRI issued before or after decrypt operation:         0
Decryptor keys not used in proper sequence        :         0
Decryptor keys not used in proper sequence        :         0
INFO:iMPACT:2219 - Status register values:
INFO:iMPACT:2219 - Status register values:
INFO:iMPACT - 0011 0111 1011 1000 0000 0000 0000 0000
INFO:iMPACT - 0011 0111 1011 1000 0000 0000 0000 0000
INFO:iMPACT:579 - '3': Completed downloading bit file to device.
INFO:iMPACT:579 - '3': Completed downloading bit file to device.
INFO:iMPACT:580 - '3':Checking done pin ....done.
INFO:iMPACT:580 - '3':Checking done pin ....done.
'3': Programmed successfully.
'3': Programmed successfully.
Elapsed time =      3 sec.
Elapsed time =      3 sec.
// *** BATCH CMD : quit
// *** BATCH CMD : quit
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
Done!
Done!
Done.
Done.
At Local date and time: Thu Jul 06 22:30:10 2006
At Local date and time: Thu Jul 06 22:30:10 2006
 xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make program; exit;" started...
 xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make program; exit;" started...
mb-gcc -O2 testbench1/tb1.c  -o Testbench1/executable.elf \
mb-gcc -O2 testbench1/tb1.c  -o Testbench1/executable.elf \
    -mno-xl-soft-mul     -Wl,-T -Wl,Testbench1_linker_script.ld  -g    -I./microblaze_0/include/  -Itestbench1/  -L./microblaze_0/lib/  \
    -mno-xl-soft-mul     -Wl,-T -Wl,Testbench1_linker_script.ld  -g    -I./microblaze_0/include/  -Itestbench1/  -L./microblaze_0/lib/  \
mb-size Testbench1/executable.elf
mb-size Testbench1/executable.elf
   text    data     bss     dec     hex filename
   text    data     bss     dec     hex filename
  20720    1016   21004   42740    a6f4 Testbench1/executable.elf
  20720    1016   21004   42740    a6f4 Testbench1/executable.elf
Done!
Done!
At Local date and time: Thu Jul 06 22:30:14 2006
At Local date and time: Thu Jul 06 22:30:14 2006
 xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make download; exit;" started...
 xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make download; exit;" started...
*********************************************
*********************************************
Initializing BRAM contents of the bitstream
Initializing BRAM contents of the bitstream
*********************************************
*********************************************
bitinit system.mhs -lp D:/XilinxXUP/lib/ -pe microblaze_0 Testbench1/executable.elf  \
bitinit system.mhs -lp D:/XilinxXUP/lib/ -pe microblaze_0 Testbench1/executable.elf  \
-bt implementation/system.bit -o implementation/download.bit
-bt implementation/system.bit -o implementation/download.bit
bitinit version Xilinx EDK 8.1 Build EDK_I.18.7
bitinit version Xilinx EDK 8.1 Build EDK_I.18.7
Copyright (c) Xilinx Inc. 2002.
Copyright (c) Xilinx Inc. 2002.
Parsing MHS File system.mhs...
Parsing MHS File system.mhs...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/microblaze_v4_00_a/data/microblaze_v2_1_0.
C:/EDK/hw/XilinxProcessorIPLib/pcores/microblaze_v4_00_a/data/microblaze_v2_1_0.
tcl ...
tcl ...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_mdm_v2_00_a/data/opb_mdm_v2_1_0.tcl
C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_mdm_v2_00_a/data/opb_mdm_v2_1_0.tcl
...
...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_v10_v1_00_a/data/lmb_v10_v2_1_0.tcl
C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_v10_v1_00_a/data/lmb_v10_v2_1_0.tcl
...
...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_bram_if_cntlr_v1_00_b/data/lmb_bram_if
C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_bram_if_cntlr_v1_00_b/data/lmb_bram_if
_cntlr_v2_1_0.tcl ...
_cntlr_v2_1_0.tcl ...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_sysace_v1_00_c/data/opb_sysace_v2_1_0.
C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_sysace_v1_00_c/data/opb_sysace_v2_1_0.
tcl ...
tcl ...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/dcm_module_v1_00_a/data/dcm_module_v2_1_0.
C:/EDK/hw/XilinxProcessorIPLib/pcores/dcm_module_v1_00_a/data/dcm_module_v2_1_0.
tcl ...
tcl ...
Overriding IP level properties ...
Overriding IP level properties ...
microblaze (microblaze_0) -
microblaze (microblaze_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
mpd line 63 - tool overriding c_family value virtex2 to
mpd line 63 - tool overriding c_family value virtex2 to
microblaze (microblaze_0) -
microblaze (microblaze_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
mpd line 64 - tool overriding c_instance value microblaze to microblaze_0
mpd line 64 - tool overriding c_instance value microblaze to microblaze_0
microblaze (microblaze_0) -
microblaze (microblaze_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
mpd:93 - tcl overriding C_ADDR_TAG_BITS value 17 to 0
mpd:93 - tcl overriding C_ADDR_TAG_BITS value 17 to 0
microblaze (microblaze_0) -
microblaze (microblaze_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
mpd:100 - tcl overriding C_DCACHE_ADDR_TAG value 17 to 0
mpd:100 - tcl overriding C_DCACHE_ADDR_TAG value 17 to 0
opb_mdm (debug_module) -
opb_mdm (debug_module) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_mdm_v2_00_a\data\opb_mdm_v2_1_0.mpd
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_mdm_v2_00_a\data\opb_mdm_v2_1_0.mpd
line 42 - tool overriding c_family value virtex2 to
line 42 - tool overriding c_family value virtex2 to
bram_block (lmb_bram) -
bram_block (lmb_bram) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
mpd line 42 - tool overriding c_family value virtex2 to
mpd line 42 - tool overriding c_family value virtex2 to
opb_gpio (leds_4bit) -
opb_gpio (leds_4bit) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd
line 41 - tool overriding c_family value virtex2 to
line 41 - tool overriding c_family value virtex2 to
opb_gpio (dipsws_4bit) -
opb_gpio (dipsws_4bit) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd
line 41 - tool overriding c_family value virtex2 to
line 41 - tool overriding c_family value virtex2 to
opb_gpio (pushbuttons_5bit) -
opb_gpio (pushbuttons_5bit) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd
line 41 - tool overriding c_family value virtex2 to
line 41 - tool overriding c_family value virtex2 to
dcm_module (dcm_0) -
dcm_module (dcm_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\dcm_module_v1_00_a\data\dcm_module_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\dcm_module_v1_00_a\data\dcm_module_v2_1_0.
mpd line 60 - tool overriding c_family value virtex2 to
mpd line 60 - tool overriding c_family value virtex2 to
bram_block (data_bram_0) -
bram_block (data_bram_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
mpd line 42 - tool overriding c_family value virtex2 to
mpd line 42 - tool overriding c_family value virtex2 to
bram_block (data_bram_1) -
bram_block (data_bram_1) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
mpd line 42 - tool overriding c_family value virtex2 to
mpd line 42 - tool overriding c_family value virtex2 to
Performing IP level DRCs on properties...
Performing IP level DRCs on properties...
Running DRC Tcl procedures for OPTION IPLEVEL_DRC_PROC...
Running DRC Tcl procedures for OPTION IPLEVEL_DRC_PROC...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
2_1_0.tcl ...
2_1_0.tcl ...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
2_1_0.tcl ...
2_1_0.tcl ...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
2_1_0.tcl ...
2_1_0.tcl ...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
2_1_0.tcl ...
2_1_0.tcl ...
Address Map for Processor microblaze_0
Address Map for Processor microblaze_0
  (0x00000000-0x0000ffff) dlmb_cntlr    dlmb
  (0x00000000-0x0000ffff) dlmb_cntlr    dlmb
  (0x00000000-0x0000ffff) ilmb_cntlr    ilmb
  (0x00000000-0x0000ffff) ilmb_cntlr    ilmb
  (0x40000000-0x4000ffff) PushButtons_5Bit      mb_opb
  (0x40000000-0x4000ffff) PushButtons_5Bit      mb_opb
  (0x40020000-0x4002ffff) LEDs_4Bit     mb_opb
  (0x40020000-0x4002ffff) LEDs_4Bit     mb_opb
  (0x40040000-0x4004ffff) DIPSWs_4Bit   mb_opb
  (0x40040000-0x4004ffff) DIPSWs_4Bit   mb_opb
  (0x40600000-0x4060ffff) RS232_Uart_1  mb_opb
  (0x40600000-0x4060ffff) RS232_Uart_1  mb_opb
  (0x41400000-0x4140ffff) debug_module  mb_opb
  (0x41400000-0x4140ffff) debug_module  mb_opb
  (0x41800000-0x4180ffff) SysACE_CompactFlash   mb_opb
  (0x41800000-0x4180ffff) SysACE_CompactFlash   mb_opb
  (0x70000000-0x7000ffff) data_bram_if_cntlr_0  dlmb
  (0x70000000-0x7000ffff) data_bram_if_cntlr_0  dlmb
  (0x70010000-0x7001ffff) data_bram_if_cntlr_1  dlmb
  (0x70010000-0x7001ffff) data_bram_if_cntlr_1  dlmb
Initializing Memory...
Initializing Memory...
Checking ELFs associated with MICROBLAZE instance microblaze_0 for overlap...
Checking ELFs associated with MICROBLAZE instance microblaze_0 for overlap...
Analyzing file Testbench1/executable.elf...
Analyzing file Testbench1/executable.elf...
INFO:MDT - BRAM lmb_bram will be initialized with ELF of processor microblaze_0
INFO:MDT - BRAM lmb_bram will be initialized with ELF of processor microblaze_0
Running Data2Mem with the following command:
Running Data2Mem with the following command:
data2mem -bm implementation/system_bd -bt implementation/system.bit  -bd
data2mem -bm implementation/system_bd -bt implementation/system.bit  -bd
Testbench1/executable.elf tag data_bram_0 data_bram_1 lmb_bram  -o b
Testbench1/executable.elf tag data_bram_0 data_bram_1 lmb_bram  -o b
implementation/download.bit
implementation/download.bit
Memory Initialization completed successfully.
Memory Initialization completed successfully.
*********************************************
*********************************************
Downloading Bitstream onto the target board
Downloading Bitstream onto the target board
*********************************************
*********************************************
impact -batch etc/download.cmd
impact -batch etc/download.cmd
Release 8.1i - iMPACT I.24
Release 8.1i - iMPACT I.24
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
// *** BATCH CMD : setMode -bs
// *** BATCH CMD : setMode -bs
// *** BATCH CMD : setCable -port auto
// *** BATCH CMD : setCable -port auto
AutoDetecting cable. Please wait.
AutoDetecting cable. Please wait.
Connecting to cable (Parallel Port - LPT1).
Connecting to cable (Parallel Port - LPT1).
Checking cable driver.
Checking cable driver.
 Driver windrvr6.sys version = 7.0.0.0. LPT base address = 0378h.
 Driver windrvr6.sys version = 7.0.0.0. LPT base address = 0378h.
 ECP base address = 0778h.
 ECP base address = 0778h.
 ECP hardware is detected.
 ECP hardware is detected.
Cable connection established.
Cable connection established.
Connecting to cable (Parallel Port - LPT1) in ECP mode.
Connecting to cable (Parallel Port - LPT1) in ECP mode.
Checking cable driver.
Checking cable driver.
 Driver xpc4drvr.sys version = 1.0.4.0. LPT base address = 0378h.
 Driver xpc4drvr.sys version = 1.0.4.0. LPT base address = 0378h.
 Cable Type = 1, Revision = 3.
 Cable Type = 1, Revision = 3.
 Setting cable speed to 5 MHz.
 Setting cable speed to 5 MHz.
Cable connection established.
Cable connection established.
// *** BATCH CMD : identify
// *** BATCH CMD : identify
Identifying chain contents ....Version is 0001
Identifying chain contents ....Version is 0001
'1': : Manufacturer's ID =Xilinx xc2vp30, Version : 1
'1': : Manufacturer's ID =Xilinx xc2vp30, Version : 1
PMSPEC -- Overriding Xilinx file  with local
PMSPEC -- Overriding Xilinx file  with local
file 
file 
INFO:iMPACT:1777 -
INFO:iMPACT:1777 -
   Reading c:/ISE/virtex2p/data/xc2vp30.bsd...
   Reading c:/ISE/virtex2p/data/xc2vp30.bsd...
INFO:iMPACT:501 - '1': Added Device xc2vp30 successfully.
INFO:iMPACT:501 - '1': Added Device xc2vp30 successfully.
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
Version is 0000
Version is 0000
'2': : Manufacturer's ID =Xilinx xccace, Version : 0
'2': : Manufacturer's ID =Xilinx xccace, Version : 0
INFO:iMPACT:1777 -
INFO:iMPACT:1777 -
   Reading c:/ISE/acecf/data/xccace.bsd...
   Reading c:/ISE/acecf/data/xccace.bsd...
INFO:iMPACT:501 - '1': Added Device xccace successfully.
INFO:iMPACT:501 - '1': Added Device xccace successfully.
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
Version is 1111
Version is 1111
'3': : Manufacturer's ID =Xilinx xcf32p, Version : 15
'3': : Manufacturer's ID =Xilinx xcf32p, Version : 15
INFO:iMPACT:1777 -
INFO:iMPACT:1777 -
   Reading c:/ISE/xcfp/data/xcf32p.bsd...
   Reading c:/ISE/xcfp/data/xcf32p.bsd...
INFO:iMPACT:501 - '1': Added Device xcf32p successfully.
INFO:iMPACT:501 - '1': Added Device xcf32p successfully.
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
done.
done.
[
[
  1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1
  1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1
]
]
[
[
  0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1
  0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1
]
]
Chain TCK freq = 10000000.
Chain TCK freq = 10000000.
Validating chain...
Validating chain...
Boundary-scan chain validated successfully.
Boundary-scan chain validated successfully.
Elapsed time =      0 sec.
Elapsed time =      0 sec.
// *** BATCH CMD : identifyMPM
// *** BATCH CMD : identifyMPM
Elapsed time =      0 sec.
Elapsed time =      0 sec.
// *** BATCH CMD : assignFile -p 3 -file "implementation/download.bit"
// *** BATCH CMD : assignFile -p 3 -file "implementation/download.bit"
'3': Loading file 'implementation/download.bit' ...
'3': Loading file 'implementation/download.bit' ...
done.
done.
INFO:iMPACT:501 - '3': Added Device xc2vp30 successfully.
INFO:iMPACT:501 - '3': Added Device xc2vp30 successfully.
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
// *** BATCH CMD : program -p 3
// *** BATCH CMD : program -p 3
Chain TCK freq = 10000000.
Chain TCK freq = 10000000.
Validating chain...
Validating chain...
Boundary-scan chain validated successfully.
Boundary-scan chain validated successfully.
'3':Programming  device...
'3':Programming  device...
done.
done.
'3': Reading status register contents...
'3': Reading status register contents...
CRC error                                         :         0
CRC error                                         :         0
Decryptor security set                            :         0
Decryptor security set                            :         0
DCM locked                                        :         1
DCM locked                                        :         1
DCI matched                                       :         1
DCI matched                                       :         1
legacy input error                                :         0
legacy input error                                :         0
status of GTS_CFG_B                               :         1
status of GTS_CFG_B                               :         1
status of GWE                                     :         1
status of GWE                                     :         1
status of GHIGH                                   :         1
status of GHIGH                                   :         1
value of MODE pin M0                              :         1
value of MODE pin M0                              :         1
value of MODE pin M1                              :         0
value of MODE pin M1                              :         0
value of MODE pin M2                              :         1
value of MODE pin M2                              :         1
value of CFG_RDY (INIT_B)                         :         1
value of CFG_RDY (INIT_B)                         :         1
DONEIN input from DONE pin                        :         1
DONEIN input from DONE pin                        :         1
IDCODE not validated while trying to write FDRI   :         0
IDCODE not validated while trying to write FDRI   :         0
write FDRI issued before or after decrypt operation:         0
write FDRI issued before or after decrypt operation:         0
Decryptor keys not used in proper sequence        :         0
Decryptor keys not used in proper sequence        :         0
INFO:iMPACT:2219 - Status register values:
INFO:iMPACT:2219 - Status register values:
INFO:iMPACT - 0011 0111 1011 1000 0000 0000 0000 0000
INFO:iMPACT - 0011 0111 1011 1000 0000 0000 0000 0000
INFO:iMPACT:579 - '3': Completed downloading bit file to device.
INFO:iMPACT:579 - '3': Completed downloading bit file to device.
INFO:iMPACT:580 - '3':Checking done pin ....done.
INFO:iMPACT:580 - '3':Checking done pin ....done.
'3': Programmed successfully.
'3': Programmed successfully.
Elapsed time =      3 sec.
Elapsed time =      3 sec.
// *** BATCH CMD : quit
// *** BATCH CMD : quit
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
Done!
Done!
At Local date and time: Thu Jul 06 22:33:04 2006
At Local date and time: Thu Jul 06 22:33:04 2006
 xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make program; exit;" started...
 xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make program; exit;" started...
mb-gcc -O2 testbench1/tb1.c  -o Testbench1/executable.elf \
mb-gcc -O2 testbench1/tb1.c  -o Testbench1/executable.elf \
    -mno-xl-soft-mul     -Wl,-T -Wl,Testbench1_linker_script.ld  -g    -I./microblaze_0/include/  -Itestbench1/  -L./microblaze_0/lib/  \
    -mno-xl-soft-mul     -Wl,-T -Wl,Testbench1_linker_script.ld  -g    -I./microblaze_0/include/  -Itestbench1/  -L./microblaze_0/lib/  \
mb-size Testbench1/executable.elf
mb-size Testbench1/executable.elf
   text    data     bss     dec     hex filename
   text    data     bss     dec     hex filename
  20728    1016   21004   42748    a6fc Testbench1/executable.elf
  20728    1016   21004   42748    a6fc Testbench1/executable.elf
Done!
Done!
At Local date and time: Thu Jul 06 22:33:10 2006
At Local date and time: Thu Jul 06 22:33:10 2006
 xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make download; exit;" started...
 xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make download; exit;" started...
*********************************************
*********************************************
Initializing BRAM contents of the bitstream
Initializing BRAM contents of the bitstream
*********************************************
*********************************************
bitinit system.mhs -lp D:/XilinxXUP/lib/ -pe microblaze_0 Testbench1/executable.elf  \
bitinit system.mhs -lp D:/XilinxXUP/lib/ -pe microblaze_0 Testbench1/executable.elf  \
-bt implementation/system.bit -o implementation/download.bit
-bt implementation/system.bit -o implementation/download.bit
bitinit version Xilinx EDK 8.1 Build EDK_I.18.7
bitinit version Xilinx EDK 8.1 Build EDK_I.18.7
Copyright (c) Xilinx Inc. 2002.
Copyright (c) Xilinx Inc. 2002.
Parsing MHS File system.mhs...
Parsing MHS File system.mhs...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/microblaze_v4_00_a/data/microblaze_v2_1_0.
C:/EDK/hw/XilinxProcessorIPLib/pcores/microblaze_v4_00_a/data/microblaze_v2_1_0.
tcl ...
tcl ...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_mdm_v2_00_a/data/opb_mdm_v2_1_0.tcl
C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_mdm_v2_00_a/data/opb_mdm_v2_1_0.tcl
...
...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_v10_v1_00_a/data/lmb_v10_v2_1_0.tcl
C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_v10_v1_00_a/data/lmb_v10_v2_1_0.tcl
...
...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_bram_if_cntlr_v1_00_b/data/lmb_bram_if
C:/EDK/hw/XilinxProcessorIPLib/pcores/lmb_bram_if_cntlr_v1_00_b/data/lmb_bram_if
_cntlr_v2_1_0.tcl ...
_cntlr_v2_1_0.tcl ...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_sysace_v1_00_c/data/opb_sysace_v2_1_0.
C:/EDK/hw/XilinxProcessorIPLib/pcores/opb_sysace_v1_00_c/data/opb_sysace_v2_1_0.
tcl ...
tcl ...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/dcm_module_v1_00_a/data/dcm_module_v2_1_0.
C:/EDK/hw/XilinxProcessorIPLib/pcores/dcm_module_v1_00_a/data/dcm_module_v2_1_0.
tcl ...
tcl ...
Overriding IP level properties ...
Overriding IP level properties ...
microblaze (microblaze_0) -
microblaze (microblaze_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
mpd line 63 - tool overriding c_family value virtex2 to
mpd line 63 - tool overriding c_family value virtex2 to
microblaze (microblaze_0) -
microblaze (microblaze_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
mpd line 64 - tool overriding c_instance value microblaze to microblaze_0
mpd line 64 - tool overriding c_instance value microblaze to microblaze_0
microblaze (microblaze_0) -
microblaze (microblaze_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
mpd:93 - tcl overriding C_ADDR_TAG_BITS value 17 to 0
mpd:93 - tcl overriding C_ADDR_TAG_BITS value 17 to 0
microblaze (microblaze_0) -
microblaze (microblaze_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\microblaze_v4_00_a\data\microblaze_v2_1_0.
mpd:100 - tcl overriding C_DCACHE_ADDR_TAG value 17 to 0
mpd:100 - tcl overriding C_DCACHE_ADDR_TAG value 17 to 0
opb_mdm (debug_module) -
opb_mdm (debug_module) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_mdm_v2_00_a\data\opb_mdm_v2_1_0.mpd
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_mdm_v2_00_a\data\opb_mdm_v2_1_0.mpd
line 42 - tool overriding c_family value virtex2 to
line 42 - tool overriding c_family value virtex2 to
bram_block (lmb_bram) -
bram_block (lmb_bram) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
mpd line 42 - tool overriding c_family value virtex2 to
mpd line 42 - tool overriding c_family value virtex2 to
opb_gpio (leds_4bit) -
opb_gpio (leds_4bit) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd
line 41 - tool overriding c_family value virtex2 to
line 41 - tool overriding c_family value virtex2 to
opb_gpio (dipsws_4bit) -
opb_gpio (dipsws_4bit) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd
line 41 - tool overriding c_family value virtex2 to
line 41 - tool overriding c_family value virtex2 to
opb_gpio (pushbuttons_5bit) -
opb_gpio (pushbuttons_5bit) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd
C:\EDK\hw\XilinxProcessorIPLib\pcores\opb_gpio_v3_01_b\data\opb_gpio_v2_1_0.mpd
line 41 - tool overriding c_family value virtex2 to
line 41 - tool overriding c_family value virtex2 to
dcm_module (dcm_0) -
dcm_module (dcm_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\dcm_module_v1_00_a\data\dcm_module_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\dcm_module_v1_00_a\data\dcm_module_v2_1_0.
mpd line 60 - tool overriding c_family value virtex2 to
mpd line 60 - tool overriding c_family value virtex2 to
bram_block (data_bram_0) -
bram_block (data_bram_0) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
mpd line 42 - tool overriding c_family value virtex2 to
mpd line 42 - tool overriding c_family value virtex2 to
bram_block (data_bram_1) -
bram_block (data_bram_1) -
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
C:\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a\data\bram_block_v2_1_0.
mpd line 42 - tool overriding c_family value virtex2 to
mpd line 42 - tool overriding c_family value virtex2 to
Performing IP level DRCs on properties...
Performing IP level DRCs on properties...
Running DRC Tcl procedures for OPTION IPLEVEL_DRC_PROC...
Running DRC Tcl procedures for OPTION IPLEVEL_DRC_PROC...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
2_1_0.tcl ...
2_1_0.tcl ...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
2_1_0.tcl ...
2_1_0.tcl ...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
2_1_0.tcl ...
2_1_0.tcl ...
Sourcing tcl file
Sourcing tcl file
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
C:/EDK/hw/XilinxProcessorIPLib/pcores/bram_if_cntlr_v1_00_b/data/bram_if_cntlr_v
2_1_0.tcl ...
2_1_0.tcl ...
Address Map for Processor microblaze_0
Address Map for Processor microblaze_0
  (0x00000000-0x0000ffff) dlmb_cntlr    dlmb
  (0x00000000-0x0000ffff) dlmb_cntlr    dlmb
  (0x00000000-0x0000ffff) ilmb_cntlr    ilmb
  (0x00000000-0x0000ffff) ilmb_cntlr    ilmb
  (0x40000000-0x4000ffff) PushButtons_5Bit      mb_opb
  (0x40000000-0x4000ffff) PushButtons_5Bit      mb_opb
  (0x40020000-0x4002ffff) LEDs_4Bit     mb_opb
  (0x40020000-0x4002ffff) LEDs_4Bit     mb_opb
  (0x40040000-0x4004ffff) DIPSWs_4Bit   mb_opb
  (0x40040000-0x4004ffff) DIPSWs_4Bit   mb_opb
  (0x40600000-0x4060ffff) RS232_Uart_1  mb_opb
  (0x40600000-0x4060ffff) RS232_Uart_1  mb_opb
  (0x41400000-0x4140ffff) debug_module  mb_opb
  (0x41400000-0x4140ffff) debug_module  mb_opb
  (0x41800000-0x4180ffff) SysACE_CompactFlash   mb_opb
  (0x41800000-0x4180ffff) SysACE_CompactFlash   mb_opb
  (0x70000000-0x7000ffff) data_bram_if_cntlr_0  dlmb
  (0x70000000-0x7000ffff) data_bram_if_cntlr_0  dlmb
  (0x70010000-0x7001ffff) data_bram_if_cntlr_1  dlmb
  (0x70010000-0x7001ffff) data_bram_if_cntlr_1  dlmb
Initializing Memory...
Initializing Memory...
Checking ELFs associated with MICROBLAZE instance microblaze_0 for overlap...
Checking ELFs associated with MICROBLAZE instance microblaze_0 for overlap...
Analyzing file Testbench1/executable.elf...
Analyzing file Testbench1/executable.elf...
INFO:MDT - BRAM lmb_bram will be initialized with ELF of processor microblaze_0
INFO:MDT - BRAM lmb_bram will be initialized with ELF of processor microblaze_0
Running Data2Mem with the following command:
Running Data2Mem with the following command:
data2mem -bm implementation/system_bd -bt implementation/system.bit  -bd
data2mem -bm implementation/system_bd -bt implementation/system.bit  -bd
Testbench1/executable.elf tag data_bram_0 data_bram_1 lmb_bram  -o b
Testbench1/executable.elf tag data_bram_0 data_bram_1 lmb_bram  -o b
implementation/download.bit
implementation/download.bit
Memory Initialization completed successfully.
Memory Initialization completed successfully.
*********************************************
*********************************************
Downloading Bitstream onto the target board
Downloading Bitstream onto the target board
*********************************************
*********************************************
impact -batch etc/download.cmd
impact -batch etc/download.cmd
Release 8.1i - iMPACT I.24
Release 8.1i - iMPACT I.24
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
// *** BATCH CMD : setMode -bs
// *** BATCH CMD : setMode -bs
// *** BATCH CMD : setCable -port auto
// *** BATCH CMD : setCable -port auto
AutoDetecting cable. Please wait.
AutoDetecting cable. Please wait.
Connecting to cable (Parallel Port - LPT1).
Connecting to cable (Parallel Port - LPT1).
Checking cable driver.
Checking cable driver.
 Driver windrvr6.sys version = 7.0.0.0. LPT base address = 0378h.
 Driver windrvr6.sys version = 7.0.0.0. LPT base address = 0378h.
 ECP base address = 0778h.
 ECP base address = 0778h.
 ECP hardware is detected.
 ECP hardware is detected.
Cable connection established.
Cable connection established.
Connecting to cable (Parallel Port - LPT1) in ECP mode.
Connecting to cable (Parallel Port - LPT1) in ECP mode.
Checking cable driver.
Checking cable driver.
 Driver xpc4drvr.sys version = 1.0.4.0. LPT base address = 0378h.
 Driver xpc4drvr.sys version = 1.0.4.0. LPT base address = 0378h.
 Cable Type = 1, Revision = 3.
 Cable Type = 1, Revision = 3.
 Setting cable speed to 5 MHz.
 Setting cable speed to 5 MHz.
Cable connection established.
Cable connection established.
// *** BATCH CMD : identify
// *** BATCH CMD : identify
Identifying chain contents ....Version is 0001
Identifying chain contents ....Version is 0001
'1': : Manufacturer's ID =Xilinx xc2vp30, Version : 1
'1': : Manufacturer's ID =Xilinx xc2vp30, Version : 1
PMSPEC -- Overriding Xilinx file  with local
PMSPEC -- Overriding Xilinx file  with local
file 
file 
INFO:iMPACT:1777 -
INFO:iMPACT:1777 -
   Reading c:/ISE/virtex2p/data/xc2vp30.bsd...
   Reading c:/ISE/virtex2p/data/xc2vp30.bsd...
INFO:iMPACT:501 - '1': Added Device xc2vp30 successfully.
INFO:iMPACT:501 - '1': Added Device xc2vp30 successfully.
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
Version is 0000
Version is 0000
'2': : Manufacturer's ID =Xilinx xccace, Version : 0
'2': : Manufacturer's ID =Xilinx xccace, Version : 0
INFO:iMPACT:1777 -
INFO:iMPACT:1777 -
   Reading c:/ISE/acecf/data/xccace.bsd...
   Reading c:/ISE/acecf/data/xccace.bsd...
INFO:iMPACT:501 - '1': Added Device xccace successfully.
INFO:iMPACT:501 - '1': Added Device xccace successfully.
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
Version is 1111
Version is 1111
'3': : Manufacturer's ID =Xilinx xcf32p, Version : 15
'3': : Manufacturer's ID =Xilinx xcf32p, Version : 15
INFO:iMPACT:1777 -
INFO:iMPACT:1777 -
   Reading c:/ISE/xcfp/data/xcf32p.bsd...
   Reading c:/ISE/xcfp/data/xcf32p.bsd...
INFO:iMPACT:501 - '1': Added Device xcf32p successfully.
INFO:iMPACT:501 - '1': Added Device xcf32p successfully.
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
done.
done.
[
[
  1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1
  1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1
]
]
[
[
  0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1
  0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1
]
]
Chain TCK freq = 10000000.
Chain TCK freq = 10000000.
Validating chain...
Validating chain...
Boundary-scan chain validated successfully.
Boundary-scan chain validated successfully.
Elapsed time =      1 sec.
Elapsed time =      1 sec.
// *** BATCH CMD : identifyMPM
// *** BATCH CMD : identifyMPM
Elapsed time =      0 sec.
Elapsed time =      0 sec.
// *** BATCH CMD : assignFile -p 3 -file "implementation/download.bit"
// *** BATCH CMD : assignFile -p 3 -file "implementation/download.bit"
'3': Loading file 'implementation/download.bit' ...
'3': Loading file 'implementation/download.bit' ...
done.
done.
INFO:iMPACT:501 - '3': Added Device xc2vp30 successfully.
INFO:iMPACT:501 - '3': Added Device xc2vp30 successfully.
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
// *** BATCH CMD : program -p 3
// *** BATCH CMD : program -p 3
Chain TCK freq = 10000000.
Chain TCK freq = 10000000.
Validating chain...
Validating chain...
Boundary-scan chain validated successfully.
Boundary-scan chain validated successfully.
'3':Programming  device...
'3':Programming  device...
done.
done.
'3': Reading status register contents...
'3': Reading status register contents...
CRC error                                         :         0
CRC error                                         :         0
Decryptor security set                            :         0
Decryptor security set                            :         0
DCM locked                                        :         1
DCM locked                                        :         1
DCI matched                                       :         1
DCI matched                                       :         1
legacy input error                                :         0
legacy input error                                :         0
status of GTS_CFG_B                               :         1
status of GTS_CFG_B                               :         1
status of GWE                                     :         1
status of GWE                                     :         1
status of GHIGH                                   :         1
status of GHIGH                                   :         1
value of MODE pin M0                              :         1
value of MODE pin M0                              :         1
value of MODE pin M1                              :         0
value of MODE pin M1                              :         0
value of MODE pin M2                              :         1
value of MODE pin M2                              :         1
value of CFG_RDY (INIT_B)                         :         1
value of CFG_RDY (INIT_B)                         :         1
DONEIN input from DONE pin                        :         1
DONEIN input from DONE pin                        :         1
IDCODE not validated while trying to write FDRI   :         0
IDCODE not validated while trying to write FDRI   :         0
write FDRI issued before or after decrypt operation:         0
write FDRI issued before or after decrypt operation:         0
Decryptor keys not used in proper sequence        :         0
Decryptor keys not used in proper sequence        :         0
INFO:iMPACT:2219 - Status register values:
INFO:iMPACT:2219 - Status register values:
INFO:iMPACT - 0011 0111 1011 1000 0000 0000 0000 0000
INFO:iMPACT - 0011 0111 1011 1000 0000 0000 0000 0000
INFO:iMPACT:579 - '3': Completed downloading bit file to device.
INFO:iMPACT:579 - '3': Completed downloading bit file to device.
INFO:iMPACT:580 - '3':Checking done pin ....done.
INFO:iMPACT:580 - '3':Checking done pin ....done.
'3': Programmed successfully.
'3': Programmed successfully.
Elapsed time =      3 sec.
Elapsed time =      3 sec.
// *** BATCH CMD : quit
// *** BATCH CMD : quit
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
Done!
Done!
At Local date and time: Thu Jul 06 22:35:26 2006
At Local date and time: Thu Jul 06 22:35:26 2006
 xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make download; exit;" started...
 xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make download; exit;" started...
*********************************************
*********************************************
Downloading Bitstream onto the target board
Downloading Bitstream onto the target board
*********************************************
*********************************************
impact -batch etc/download.cmd
impact -batch etc/download.cmd
Release 8.1i - iMPACT I.24
Release 8.1i - iMPACT I.24
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
// *** BATCH CMD : setMode -bs
// *** BATCH CMD : setMode -bs
// *** BATCH CMD : setCable -port auto
// *** BATCH CMD : setCable -port auto
AutoDetecting cable. Please wait.
AutoDetecting cable. Please wait.
Connecting to cable (Parallel Port - LPT1).
Connecting to cable (Parallel Port - LPT1).
Checking cable driver.
Checking cable driver.
 Driver windrvr6.sys version = 7.0.0.0. LPT base address = 0378h.
 Driver windrvr6.sys version = 7.0.0.0. LPT base address = 0378h.
 ECP base address = 0778h.
 ECP base address = 0778h.
 ECP hardware is detected.
 ECP hardware is detected.
Cable connection established.
Cable connection established.
Connecting to cable (Parallel Port - LPT1) in ECP mode.
Connecting to cable (Parallel Port - LPT1) in ECP mode.
Checking cable driver.
Checking cable driver.
 Driver xpc4drvr.sys version = 1.0.4.0. LPT base address = 0378h.
 Driver xpc4drvr.sys version = 1.0.4.0. LPT base address = 0378h.
 Cable Type = 1, Revision = 3.
 Cable Type = 1, Revision = 3.
 Setting cable speed to 5 MHz.
 Setting cable speed to 5 MHz.
Cable connection established.
Cable connection established.
// *** BATCH CMD : identify
// *** BATCH CMD : identify
Identifying chain contents ....Version is 0001
Identifying chain contents ....Version is 0001
'1': : Manufacturer's ID =Xilinx xc2vp30, Version : 1
'1': : Manufacturer's ID =Xilinx xc2vp30, Version : 1
PMSPEC -- Overriding Xilinx file  with local
PMSPEC -- Overriding Xilinx file  with local
file 
file 
INFO:iMPACT:1777 -
INFO:iMPACT:1777 -
   Reading c:/ISE/virtex2p/data/xc2vp30.bsd...
   Reading c:/ISE/virtex2p/data/xc2vp30.bsd...
INFO:iMPACT:501 - '1': Added Device xc2vp30 successfully.
INFO:iMPACT:501 - '1': Added Device xc2vp30 successfully.
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
Version is 0000
Version is 0000
'2': : Manufacturer's ID =Xilinx xccace, Version : 0
'2': : Manufacturer's ID =Xilinx xccace, Version : 0
INFO:iMPACT:1777 -
INFO:iMPACT:1777 -
   Reading c:/ISE/acecf/data/xccace.bsd...
   Reading c:/ISE/acecf/data/xccace.bsd...
INFO:iMPACT:501 - '1': Added Device xccace successfully.
INFO:iMPACT:501 - '1': Added Device xccace successfully.
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
Version is 1111
Version is 1111
'3': : Manufacturer's ID =Xilinx xcf32p, Version : 15
'3': : Manufacturer's ID =Xilinx xcf32p, Version : 15
INFO:iMPACT:1777 -
INFO:iMPACT:1777 -
   Reading c:/ISE/xcfp/data/xcf32p.bsd...
   Reading c:/ISE/xcfp/data/xcf32p.bsd...
INFO:iMPACT:501 - '1': Added Device xcf32p successfully.
INFO:iMPACT:501 - '1': Added Device xcf32p successfully.
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
done.
done.
[
[
  1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1
  1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1
]
]
[
[
  0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1
  0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1
]
]
Chain TCK freq = 10000000.
Chain TCK freq = 10000000.
Validating chain...
Validating chain...
Boundary-scan chain validated successfully.
Boundary-scan chain validated successfully.
Elapsed time =      0 sec.
Elapsed time =      0 sec.
// *** BATCH CMD : identifyMPM
// *** BATCH CMD : identifyMPM
Elapsed time =      0 sec.
Elapsed time =      0 sec.
// *** BATCH CMD : assignFile -p 3 -file "implementation/download.bit"
// *** BATCH CMD : assignFile -p 3 -file "implementation/download.bit"
'3': Loading file 'implementation/download.bit' ...
'3': Loading file 'implementation/download.bit' ...
done.
done.
INFO:iMPACT:501 - '3': Added Device xc2vp30 successfully.
INFO:iMPACT:501 - '3': Added Device xc2vp30 successfully.
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
// *** BATCH CMD : program -p 3
// *** BATCH CMD : program -p 3
Chain TCK freq = 10000000.
Chain TCK freq = 10000000.
Validating chain...
Validating chain...
Boundary-scan chain validated successfully.
Boundary-scan chain validated successfully.
'3':Programming  device...
'3':Programming  device...
done.
done.
'3': Reading status register contents...
'3': Reading status register contents...
CRC error                                         :         0
CRC error                                         :         0
Decryptor security set                            :         0
Decryptor security set                            :         0
DCM locked                                        :         1
DCM locked                                        :         1
DCI matched                                       :         1
DCI matched                                       :         1
legacy input error                                :         0
legacy input error                                :         0
status of GTS_CFG_B                               :         1
status of GTS_CFG_B                               :         1
status of GWE                                     :         1
status of GWE                                     :         1
status of GHIGH                                   :         1
status of GHIGH                                   :         1
value of MODE pin M0                              :         1
value of MODE pin M0                              :         1
value of MODE pin M1                              :         0
value of MODE pin M1                              :         0
value of MODE pin M2                              :         1
value of MODE pin M2                              :         1
value of CFG_RDY (INIT_B)                         :         1
value of CFG_RDY (INIT_B)                         :         1
DONEIN input from DONE pin                        :         1
DONEIN input from DONE pin                        :         1
IDCODE not validated while trying to write FDRI   :         0
IDCODE not validated while trying to write FDRI   :         0
write FDRI issued before or after decrypt operation:         0
write FDRI issued before or after decrypt operation:         0
Decryptor keys not used in proper sequence        :         0
Decryptor keys not used in proper sequence        :         0
INFO:iMPACT:2219 - Status register values:
INFO:iMPACT:2219 - Status register values:
INFO:iMPACT - 0011 0111 1011 1000 0000 0000 0000 0000
INFO:iMPACT - 0011 0111 1011 1000 0000 0000 0000 0000
INFO:iMPACT:579 - '3': Completed downloading bit file to device.
INFO:iMPACT:579 - '3': Completed downloading bit file to device.
INFO:iMPACT:580 - '3':Checking done pin ....done.
INFO:iMPACT:580 - '3':Checking done pin ....done.
'3': Programmed successfully.
'3': Programmed successfully.
Elapsed time =      3 sec.
Elapsed time =      3 sec.
// *** BATCH CMD : quit
// *** BATCH CMD : quit
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
Done!
Done!
At Local date and time: Thu Jul 06 22:39:37 2006
At Local date and time: Thu Jul 06 22:39:37 2006
 xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make download; exit;" started...
 xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make download; exit;" started...
*********************************************
*********************************************
Downloading Bitstream onto the target board
Downloading Bitstream onto the target board
*********************************************
*********************************************
impact -batch etc/download.cmd
impact -batch etc/download.cmd
Release 8.1i - iMPACT I.24
Release 8.1i - iMPACT I.24
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
// *** BATCH CMD : setMode -bs
// *** BATCH CMD : setMode -bs
// *** BATCH CMD : setCable -port auto
// *** BATCH CMD : setCable -port auto
AutoDetecting cable. Please wait.
AutoDetecting cable. Please wait.
Connecting to cable (Parallel Port - LPT1).
Connecting to cable (Parallel Port - LPT1).
Checking cable driver.
Checking cable driver.
 Driver windrvr6.sys version = 7.0.0.0. LPT base address = 0378h.
 Driver windrvr6.sys version = 7.0.0.0. LPT base address = 0378h.
 ECP base address = 0778h.
 ECP base address = 0778h.
 ECP hardware is detected.
 ECP hardware is detected.
Cable connection established.
Cable connection established.
Connecting to cable (Parallel Port - LPT1) in ECP mode.
Connecting to cable (Parallel Port - LPT1) in ECP mode.
Checking cable driver.
Checking cable driver.
 Driver xpc4drvr.sys version = 1.0.4.0. LPT base address = 0378h.
 Driver xpc4drvr.sys version = 1.0.4.0. LPT base address = 0378h.
 Cable Type = 1, Revision = 3.
 Cable Type = 1, Revision = 3.
 Setting cable speed to 5 MHz.
 Setting cable speed to 5 MHz.
Cable connection established.
Cable connection established.
// *** BATCH CMD : identify
// *** BATCH CMD : identify
Identifying chain contents ....Version is 0001
Identifying chain contents ....Version is 0001
'1': : Manufacturer's ID =Xilinx xc2vp30, Version : 1
'1': : Manufacturer's ID =Xilinx xc2vp30, Version : 1
PMSPEC -- Overriding Xilinx file  with local
PMSPEC -- Overriding Xilinx file  with local
file 
file 
INFO:iMPACT:1777 -
INFO:iMPACT:1777 -
   Reading c:/ISE/virtex2p/data/xc2vp30.bsd...
   Reading c:/ISE/virtex2p/data/xc2vp30.bsd...
INFO:iMPACT:501 - '1': Added Device xc2vp30 successfully.
INFO:iMPACT:501 - '1': Added Device xc2vp30 successfully.
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
Version is 0000
Version is 0000
'2': : Manufacturer's ID =Xilinx xccace, Version : 0
'2': : Manufacturer's ID =Xilinx xccace, Version : 0
INFO:iMPACT:1777 -
INFO:iMPACT:1777 -
   Reading c:/ISE/acecf/data/xccace.bsd...
   Reading c:/ISE/acecf/data/xccace.bsd...
INFO:iMPACT:501 - '1': Added Device xccace successfully.
INFO:iMPACT:501 - '1': Added Device xccace successfully.
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
Version is 1111
Version is 1111
'3': : Manufacturer's ID =Xilinx xcf32p, Version : 15
'3': : Manufacturer's ID =Xilinx xcf32p, Version : 15
INFO:iMPACT:1777 -
INFO:iMPACT:1777 -
   Reading c:/ISE/xcfp/data/xcf32p.bsd...
   Reading c:/ISE/xcfp/data/xcf32p.bsd...
INFO:iMPACT:501 - '1': Added Device xcf32p successfully.
INFO:iMPACT:501 - '1': Added Device xcf32p successfully.
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
done.
done.
[
[
  1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1
  1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1
]
]
[
[
  0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1
  0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1
]
]
Chain TCK freq = 10000000.
Chain TCK freq = 10000000.
Validating chain...
Validating chain...
Boundary-scan chain validated successfully.
Boundary-scan chain validated successfully.
Elapsed time =      0 sec.
Elapsed time =      0 sec.
// *** BATCH CMD : identifyMPM
// *** BATCH CMD : identifyMPM
Elapsed time =      0 sec.
Elapsed time =      0 sec.
// *** BATCH CMD : assignFile -p 3 -file "implementation/download.bit"
// *** BATCH CMD : assignFile -p 3 -file "implementation/download.bit"
'3': Loading file 'implementation/download.bit' ...
'3': Loading file 'implementation/download.bit' ...
done.
done.
INFO:iMPACT:501 - '3': Added Device xc2vp30 successfully.
INFO:iMPACT:501 - '3': Added Device xc2vp30 successfully.
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
// *** BATCH CMD : program -p 3
// *** BATCH CMD : program -p 3
Chain TCK freq = 10000000.
Chain TCK freq = 10000000.
Validating chain...
Validating chain...
Boundary-scan chain validated successfully.
Boundary-scan chain validated successfully.
'3':Programming  device...
'3':Programming  device...
done.
done.
'3': Reading status register contents...
'3': Reading status register contents...
CRC error                                         :         0
CRC error                                         :         0
Decryptor security set                            :         0
Decryptor security set                            :         0
DCM locked                                        :         1
DCM locked                                        :         1
DCI matched                                       :         1
DCI matched                                       :         1
legacy input error                                :         0
legacy input error                                :         0
status of GTS_CFG_B                               :         1
status of GTS_CFG_B                               :         1
status of GWE                                     :         1
status of GWE                                     :         1
status of GHIGH                                   :         1
status of GHIGH                                   :         1
value of MODE pin M0                              :         1
value of MODE pin M0                              :         1
value of MODE pin M1                              :         0
value of MODE pin M1                              :         0
value of MODE pin M2                              :         1
value of MODE pin M2                              :         1
value of CFG_RDY (INIT_B)                         :         1
value of CFG_RDY (INIT_B)                         :         1
DONEIN input from DONE pin                        :         1
DONEIN input from DONE pin                        :         1
IDCODE not validated while trying to write FDRI   :         0
IDCODE not validated while trying to write FDRI   :         0
write FDRI issued before or after decrypt operation:         0
write FDRI issued before or after decrypt operation:         0
Decryptor keys not used in proper sequence        :         0
Decryptor keys not used in proper sequence        :         0
INFO:iMPACT:2219 - Status register values:
INFO:iMPACT:2219 - Status register values:
INFO:iMPACT - 0011 0111 1011 1000 0000 0000 0000 0000
INFO:iMPACT - 0011 0111 1011 1000 0000 0000 0000 0000
INFO:iMPACT:579 - '3': Completed downloading bit file to device.
INFO:iMPACT:579 - '3': Completed downloading bit file to device.
INFO:iMPACT:580 - '3':Checking done pin ....done.
INFO:iMPACT:580 - '3':Checking done pin ....done.
'3': Programmed successfully.
'3': Programmed successfully.
Elapsed time =      3 sec.
Elapsed time =      3 sec.
// *** BATCH CMD : quit
// *** BATCH CMD : quit
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
----------------------------------------------------------------------
Done!
Done!
At Local date and time: Thu Jul 06 22:40:52 2006
At Local date and time: Thu Jul 06 22:40:52 2006
 xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make clean; exit;" started...
 xbash -q -c "cd /cygdrive/d/mb-jpeg/; /usr/bin/make -f system.make clean; exit;" started...
rm -f implementation/system.ngc
rm -f implementation/system.ngc
rm -f implementation/system.bmm
rm -f implementation/system.bmm
rm -f implementation/system.bit
rm -f implementation/system.bit
rm -f implementation/system.ncd
rm -f implementation/system.ncd
rm -f implementation/system_bd.bmm
rm -f implementation/system_bd.bmm
rm -rf implementation synthesis xst hdl
rm -rf implementation synthesis xst hdl
rm -rf xst.srp system.srp
rm -rf xst.srp system.srp
rm -rf microblaze_0/lib/
rm -rf microblaze_0/lib/
rm -f Testbench1/executable.elf
rm -f Testbench1/executable.elf
rm -rf simulation/behavioral
rm -rf simulation/behavioral
rm -rf virtualplatform
rm -rf virtualplatform
rm -f _impact.cmd
rm -f _impact.cmd
Done!
Done!
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.