OpenCores
URL https://opencores.org/ocsvn/mjpeg-decoder/mjpeg-decoder/trunk

Subversion Repositories mjpeg-decoder

[/] [mjpeg-decoder/] [trunk/] [mjpeg/] [system.make] - Diff between revs 2 and 4

Go to most recent revision | Only display areas with differences | Details | Blame | View Log

Rev 2 Rev 4
#################################################################
#################################################################
# Makefile generated by Xilinx Platform Studio
# Makefile generated by Xilinx Platform Studio
# Project:/home/smanz/Diplomarbeit/main/trunk/mjpeg/system.xmp
# Project:/home/smanz/Diplomarbeit/main/trunk/mjpeg/system.xmp
#################################################################
#################################################################
# Name of the Microprocessor system
# Name of the Microprocessor system
# The hardware specification of the system is in file :
# The hardware specification of the system is in file :
# /home/smanz/Diplomarbeit/main/trunk/mjpeg/system.mhs
# /home/smanz/Diplomarbeit/main/trunk/mjpeg/system.mhs
# The software specification of the system is in file :
# The software specification of the system is in file :
# /home/smanz/Diplomarbeit/main/trunk/mjpeg/system.mss
# /home/smanz/Diplomarbeit/main/trunk/mjpeg/system.mss
include system_incl.make
include system_incl.make
#################################################################
#################################################################
# EXTERNAL TARGETS
# EXTERNAL TARGETS
#################################################################
#################################################################
all:
all:
        @echo "Makefile to build a Microprocessor system :"
        @echo "Makefile to build a Microprocessor system :"
        @echo "Run make with any of the following targets"
        @echo "Run make with any of the following targets"
        @echo " "
        @echo " "
        @echo "  netlist  : Generates the netlist for the given MHS "
        @echo "  netlist  : Generates the netlist for the given MHS "
        @echo "  bits     : Runs Implementation tools to generate the bitstream"
        @echo "  bits     : Runs Implementation tools to generate the bitstream"
        @echo "  exporttopn:Export to ProjNav"
        @echo "  exporttopn:Export to ProjNav"
        @echo " "
        @echo " "
        @echo "  libs     : Configures the sw libraries for this system"
        @echo "  libs     : Configures the sw libraries for this system"
        @echo "  program  : Compiles the program sources for all the processor instances"
        @echo "  program  : Compiles the program sources for all the processor instances"
        @echo " "
        @echo " "
        @echo "  init_bram: Initializes bitstream with BRAM data"
        @echo "  init_bram: Initializes bitstream with BRAM data"
        @echo "  ace      : Generate ace file from bitstream and elf"
        @echo "  ace      : Generate ace file from bitstream and elf"
        @echo "  download : Downloads the bitstream onto the board"
        @echo "  download : Downloads the bitstream onto the board"
        @echo " "
        @echo " "
        @echo "  sim      : Generates HDL simulation models and runs simulator for chosen simulation mode"
        @echo "  sim      : Generates HDL simulation models and runs simulator for chosen simulation mode"
        @echo "  simmodel : Generates HDL simulation models for chosen simulation mode"
        @echo "  simmodel : Generates HDL simulation models for chosen simulation mode"
        @echo "  behavioral:Generates behavioral HDL models with BRAM initialization"
        @echo "  behavioral:Generates behavioral HDL models with BRAM initialization"
        @echo "  structural:Generates structural simulation HDL models with BRAM initialization"
        @echo "  structural:Generates structural simulation HDL models with BRAM initialization"
        @echo "  timing   : Generates timing simulation HDL models with BRAM initialization"
        @echo "  timing   : Generates timing simulation HDL models with BRAM initialization"
        @echo "  vp       : Generates virtual platform model"
        @echo "  vp       : Generates virtual platform model"
        @echo " "
        @echo " "
        @echo "  netlistclean: Deletes netlist"
        @echo "  netlistclean: Deletes netlist"
        @echo "  bitsclean: Deletes bit, ncd, bmm files"
        @echo "  bitsclean: Deletes bit, ncd, bmm files"
        @echo "  hwclean  : Deletes implementation dir"
        @echo "  hwclean  : Deletes implementation dir"
        @echo "  libsclean: Deletes sw libraries"
        @echo "  libsclean: Deletes sw libraries"
        @echo "  programclean: Deletes compiled ELF files"
        @echo "  programclean: Deletes compiled ELF files"
        @echo "  swclean  : Deletes sw libraries and ELF files"
        @echo "  swclean  : Deletes sw libraries and ELF files"
        @echo "  simclean : Deletes simulation dir"
        @echo "  simclean : Deletes simulation dir"
        @echo "  vpclean  : Deletes virtualplatform dir"
        @echo "  vpclean  : Deletes virtualplatform dir"
        @echo "  clean    : Deletes all generated files/directories"
        @echo "  clean    : Deletes all generated files/directories"
        @echo " "
        @echo " "
        @echo "  make  : (Default)"
        @echo "  make  : (Default)"
        @echo "      Creates a Microprocessor system using default initializations"
        @echo "      Creates a Microprocessor system using default initializations"
        @echo "      specified for each processor in MSS file"
        @echo "      specified for each processor in MSS file"
bits: $(SYSTEM_BIT)
bits: $(SYSTEM_BIT)
ace: $(SYSTEM_ACE)
ace: $(SYSTEM_ACE)
netlist: $(POSTSYN_NETLIST)
netlist: $(POSTSYN_NETLIST)
libs: $(LIBRARIES)
libs: $(LIBRARIES)
program: $(ALL_USER_ELF_FILES)
program: $(ALL_USER_ELF_FILES)
download: $(DOWNLOAD_BIT) dummy
download: $(DOWNLOAD_BIT) dummy
        @echo "*********************************************"
        @echo "*********************************************"
        @echo "Downloading Bitstream onto the target board"
        @echo "Downloading Bitstream onto the target board"
        @echo "*********************************************"
        @echo "*********************************************"
        impact -batch etc/download.cmd
        impact -batch etc/download.cmd
init_bram: $(DOWNLOAD_BIT)
init_bram: $(DOWNLOAD_BIT)
sim: $(DEFAULT_SIM_SCRIPT)
sim: $(DEFAULT_SIM_SCRIPT)
        cd simulation/behavioral; \
        cd simulation/behavioral; \
        $(SIM_CMD)  &
        $(SIM_CMD)  &
simmodel: $(DEFAULT_SIM_SCRIPT)
simmodel: $(DEFAULT_SIM_SCRIPT)
behavioral_model: $(BEHAVIORAL_SIM_SCRIPT)
behavioral_model: $(BEHAVIORAL_SIM_SCRIPT)
structural_model: $(STRUCTURAL_SIM_SCRIPT)
structural_model: $(STRUCTURAL_SIM_SCRIPT)
vp: $(VPEXEC)
vp: $(VPEXEC)
clean: hwclean libsclean programclean simclean vpclean
clean: hwclean libsclean programclean simclean vpclean
        rm -f _impact.cmd
        rm -f _impact.cmd
hwclean: netlistclean bitsclean
hwclean: netlistclean bitsclean
        rm -rf implementation synthesis xst hdl
        rm -rf implementation synthesis xst hdl
        rm -rf xst.srp $(SYSTEM).srp
        rm -rf xst.srp $(SYSTEM).srp
netlistclean:
netlistclean:
        rm -f $(POSTSYN_NETLIST)
        rm -f $(POSTSYN_NETLIST)
        rm -f $(BMM_FILE)
        rm -f $(BMM_FILE)
bitsclean:
bitsclean:
        rm -f $(SYSTEM_BIT)
        rm -f $(SYSTEM_BIT)
        rm -f implementation/$(SYSTEM).ncd
        rm -f implementation/$(SYSTEM).ncd
        rm -f implementation/$(SYSTEM)_bd.bmm
        rm -f implementation/$(SYSTEM)_bd.bmm
bitsclean:
bitsclean:
simclean:
simclean:
        rm -rf simulation/behavioral
        rm -rf simulation/behavioral
swclean: libsclean programclean
swclean: libsclean programclean
        @echo ""
        @echo ""
libsclean: $(LIBSCLEAN_TARGETS)
libsclean: $(LIBSCLEAN_TARGETS)
programclean: $(PROGRAMCLEAN_TARGETS)
programclean: $(PROGRAMCLEAN_TARGETS)
vpclean:
vpclean:
        rm -rf virtualplatform
        rm -rf virtualplatform
#################################################################
#################################################################
# SOFTWARE PLATFORM FLOW
# SOFTWARE PLATFORM FLOW
#################################################################
#################################################################
$(LIBRARIES): $(MHSFILE) $(MSSFILE) __xps/libgen.opt
$(LIBRARIES): $(MHSFILE) $(MSSFILE) __xps/libgen.opt
        @echo "*********************************************"
        @echo "*********************************************"
        @echo "Creating software libraries..."
        @echo "Creating software libraries..."
        @echo "*********************************************"
        @echo "*********************************************"
        libgen $(LIBGEN_OPTIONS) $(MSSFILE)
        libgen $(LIBGEN_OPTIONS) $(MSSFILE)
ppc405_0_libsclean:
ppc405_0_libsclean:
        rm -rf ppc405_0/lib/
        rm -rf ppc405_0/lib/
ppc405_1_libsclean:
ppc405_1_libsclean:
        rm -rf ppc405_1/lib/
        rm -rf ppc405_1/lib/
#################################################################
#################################################################
# SOFTWARE APPLICATION TESTAPP_MEMORY
# SOFTWARE APPLICATION TESTAPP_MEMORY
#################################################################
#################################################################
TestApp_Memory_program: $(TESTAPP_MEMORY_OUTPUT)
TestApp_Memory_program: $(TESTAPP_MEMORY_OUTPUT)
$(TESTAPP_MEMORY_OUTPUT) : $(TESTAPP_MEMORY_SOURCES) $(TESTAPP_MEMORY_HEADERS) $(TESTAPP_MEMORY_LINKER_SCRIPT) \
$(TESTAPP_MEMORY_OUTPUT) : $(TESTAPP_MEMORY_SOURCES) $(TESTAPP_MEMORY_HEADERS) $(TESTAPP_MEMORY_LINKER_SCRIPT) \
                    $(LIBRARIES) __xps/testapp_memory_compiler.opt
                    $(LIBRARIES) __xps/testapp_memory_compiler.opt
        @mkdir -p $(TESTAPP_MEMORY_OUTPUT_DIR)
        @mkdir -p $(TESTAPP_MEMORY_OUTPUT_DIR)
        $(TESTAPP_MEMORY_CC) $(TESTAPP_MEMORY_CC_OPT) $(TESTAPP_MEMORY_SOURCES) -o $(TESTAPP_MEMORY_OUTPUT) \
        $(TESTAPP_MEMORY_CC) $(TESTAPP_MEMORY_CC_OPT) $(TESTAPP_MEMORY_SOURCES) -o $(TESTAPP_MEMORY_OUTPUT) \
        $(TESTAPP_MEMORY_OTHER_CC_FLAGS) $(TESTAPP_MEMORY_INCLUDES) $(TESTAPP_MEMORY_LIBPATH) \
        $(TESTAPP_MEMORY_OTHER_CC_FLAGS) $(TESTAPP_MEMORY_INCLUDES) $(TESTAPP_MEMORY_LIBPATH) \
        $(TESTAPP_MEMORY_CFLAGS) $(TESTAPP_MEMORY_LFLAGS)
        $(TESTAPP_MEMORY_CFLAGS) $(TESTAPP_MEMORY_LFLAGS)
        $(TESTAPP_MEMORY_CC_SIZE) $(TESTAPP_MEMORY_OUTPUT)
        $(TESTAPP_MEMORY_CC_SIZE) $(TESTAPP_MEMORY_OUTPUT)
        @echo ""
        @echo ""
TestApp_Memory_programclean:
TestApp_Memory_programclean:
        rm -f $(TESTAPP_MEMORY_OUTPUT)
        rm -f $(TESTAPP_MEMORY_OUTPUT)
#################################################################
#################################################################
# SOFTWARE APPLICATION TESTAPP_PERIPHERAL
# SOFTWARE APPLICATION TESTAPP_PERIPHERAL
#################################################################
#################################################################
TestApp_Peripheral_program: $(TESTAPP_PERIPHERAL_OUTPUT)
TestApp_Peripheral_program: $(TESTAPP_PERIPHERAL_OUTPUT)
$(TESTAPP_PERIPHERAL_OUTPUT) : $(TESTAPP_PERIPHERAL_SOURCES) $(TESTAPP_PERIPHERAL_HEADERS) $(TESTAPP_PERIPHERAL_LINKER_SCRIPT) \
$(TESTAPP_PERIPHERAL_OUTPUT) : $(TESTAPP_PERIPHERAL_SOURCES) $(TESTAPP_PERIPHERAL_HEADERS) $(TESTAPP_PERIPHERAL_LINKER_SCRIPT) \
                    $(LIBRARIES) __xps/testapp_peripheral_compiler.opt
                    $(LIBRARIES) __xps/testapp_peripheral_compiler.opt
        @mkdir -p $(TESTAPP_PERIPHERAL_OUTPUT_DIR)
        @mkdir -p $(TESTAPP_PERIPHERAL_OUTPUT_DIR)
        $(TESTAPP_PERIPHERAL_CC) $(TESTAPP_PERIPHERAL_CC_OPT) $(TESTAPP_PERIPHERAL_SOURCES) -o $(TESTAPP_PERIPHERAL_OUTPUT) \
        $(TESTAPP_PERIPHERAL_CC) $(TESTAPP_PERIPHERAL_CC_OPT) $(TESTAPP_PERIPHERAL_SOURCES) -o $(TESTAPP_PERIPHERAL_OUTPUT) \
        $(TESTAPP_PERIPHERAL_OTHER_CC_FLAGS) $(TESTAPP_PERIPHERAL_INCLUDES) $(TESTAPP_PERIPHERAL_LIBPATH) \
        $(TESTAPP_PERIPHERAL_OTHER_CC_FLAGS) $(TESTAPP_PERIPHERAL_INCLUDES) $(TESTAPP_PERIPHERAL_LIBPATH) \
        $(TESTAPP_PERIPHERAL_CFLAGS) $(TESTAPP_PERIPHERAL_LFLAGS)
        $(TESTAPP_PERIPHERAL_CFLAGS) $(TESTAPP_PERIPHERAL_LFLAGS)
        $(TESTAPP_PERIPHERAL_CC_SIZE) $(TESTAPP_PERIPHERAL_OUTPUT)
        $(TESTAPP_PERIPHERAL_CC_SIZE) $(TESTAPP_PERIPHERAL_OUTPUT)
        @echo ""
        @echo ""
TestApp_Peripheral_programclean:
TestApp_Peripheral_programclean:
        rm -f $(TESTAPP_PERIPHERAL_OUTPUT)
        rm -f $(TESTAPP_PERIPHERAL_OUTPUT)
#################################################################
#################################################################
# BOOTLOOP ELF FILES
# BOOTLOOP ELF FILES
#################################################################
#################################################################
$(PPC405_0_BOOTLOOP): $(PPC405_BOOTLOOP)
$(PPC405_0_BOOTLOOP): $(PPC405_BOOTLOOP)
        @mkdir -p $(BOOTLOOP_DIR)
        @mkdir -p $(BOOTLOOP_DIR)
        cp -f $(PPC405_BOOTLOOP) $(PPC405_0_BOOTLOOP)
        cp -f $(PPC405_BOOTLOOP) $(PPC405_0_BOOTLOOP)
$(PPC405_1_BOOTLOOP): $(PPC405_BOOTLOOP)
$(PPC405_1_BOOTLOOP): $(PPC405_BOOTLOOP)
        @mkdir -p $(BOOTLOOP_DIR)
        @mkdir -p $(BOOTLOOP_DIR)
        cp -f $(PPC405_BOOTLOOP) $(PPC405_1_BOOTLOOP)
        cp -f $(PPC405_BOOTLOOP) $(PPC405_1_BOOTLOOP)
#################################################################
#################################################################
# HARDWARE IMPLEMENTATION FLOW
# HARDWARE IMPLEMENTATION FLOW
#################################################################
#################################################################
$(BMM_FILE) \
$(BMM_FILE) \
$(WRAPPER_NGC_FILES): $(MHSFILE) __xps/platgen.opt \
$(WRAPPER_NGC_FILES): $(MHSFILE) __xps/platgen.opt \
                      $(CORE_STATE_DEVELOPMENT_FILES)
                      $(CORE_STATE_DEVELOPMENT_FILES)
        @echo "****************************************************"
        @echo "****************************************************"
        @echo "Creating system netlist for hardware specification.."
        @echo "Creating system netlist for hardware specification.."
        @echo "****************************************************"
        @echo "****************************************************"
        platgen $(PLATGEN_OPTIONS) $(MHSFILE)
        platgen $(PLATGEN_OPTIONS) $(MHSFILE)
$(POSTSYN_NETLIST): $(WRAPPER_NGC_FILES)
$(POSTSYN_NETLIST): $(WRAPPER_NGC_FILES)
        @echo "Running synthesis..."
        @echo "Running synthesis..."
        bash -c "cd synthesis; ./synthesis.sh"
        bash -c "cd synthesis; ./synthesis.sh"
$(SYSTEM_BIT): $(FPGA_IMP_DEPENDENCY)
$(SYSTEM_BIT): $(FPGA_IMP_DEPENDENCY)
        @echo "*********************************************"
        @echo "*********************************************"
        @echo "Running Xilinx Implementation tools.."
        @echo "Running Xilinx Implementation tools.."
        @echo "*********************************************"
        @echo "*********************************************"
        @cp -f $(UCF_FILE) implementation/$(SYSTEM).ucf
        @cp -f $(UCF_FILE) implementation/$(SYSTEM).ucf
        @cp -f $(XFLOW_OPT_FILE) implementation/xflow.opt
        @cp -f $(XFLOW_OPT_FILE) implementation/xflow.opt
        xflow -wd implementation -p $(DEVICE) -implement xflow.opt $(SYSTEM).ngc
        xflow -wd implementation -p $(DEVICE) -implement xflow.opt $(SYSTEM).ngc
        @echo "*********************************************"
        @echo "*********************************************"
        @echo "Running Bitgen.."
        @echo "Running Bitgen.."
        @echo "*********************************************"
        @echo "*********************************************"
        @cp -f $(BITGEN_UT_FILE) implementation/bitgen.ut
        @cp -f $(BITGEN_UT_FILE) implementation/bitgen.ut
        cd implementation; bitgen -w -f bitgen.ut $(SYSTEM)
        cd implementation; bitgen -w -f bitgen.ut $(SYSTEM)
exporttopn:
exporttopn:
        @echo "You have chosen XPS for implementation tool flow."
        @echo "You have chosen XPS for implementation tool flow."
        @echo "Please select ProjNav as your implementation flow in Project Options."
        @echo "Please select ProjNav as your implementation flow in Project Options."
        @echo "In batch mode, use commad xset pnproj ."
        @echo "In batch mode, use commad xset pnproj ."
$(DOWNLOAD_BIT): $(SYSTEM_BIT) $(BRAMINIT_ELF_FILES) __xps/bitinit.opt
$(DOWNLOAD_BIT): $(SYSTEM_BIT) $(BRAMINIT_ELF_FILES) __xps/bitinit.opt
        @cp -f implementation/$(SYSTEM)_bd.bmm .
        @cp -f implementation/$(SYSTEM)_bd.bmm .
        @echo "*********************************************"
        @echo "*********************************************"
        @echo "Initializing BRAM contents of the bitstream"
        @echo "Initializing BRAM contents of the bitstream"
        @echo "*********************************************"
        @echo "*********************************************"
        bitinit $(MHSFILE) $(SEARCHPATHOPT) $(BRAMINIT_ELF_FILE_ARGS) \
        bitinit $(MHSFILE) $(SEARCHPATHOPT) $(BRAMINIT_ELF_FILE_ARGS) \
        -bt $(SYSTEM_BIT) -o $(DOWNLOAD_BIT)
        -bt $(SYSTEM_BIT) -o $(DOWNLOAD_BIT)
        @rm -f $(SYSTEM)_bd.bmm
        @rm -f $(SYSTEM)_bd.bmm
$(SYSTEM_ACE):
$(SYSTEM_ACE):
        @echo "In order to generate ace file, you must have:-"
        @echo "In order to generate ace file, you must have:-"
        @echo "- exactly one processor."
        @echo "- exactly one processor."
        @echo "- opb_mdm, if using microblaze."
        @echo "- opb_mdm, if using microblaze."
#################################################################
#################################################################
# SIMULATION FLOW
# SIMULATION FLOW
#################################################################
#################################################################
################## BEHAVIORAL SIMULATION ##################
################## BEHAVIORAL SIMULATION ##################
$(BEHAVIORAL_SIM_SCRIPT): $(MHSFILE) __xps/simgen.opt \
$(BEHAVIORAL_SIM_SCRIPT): $(MHSFILE) __xps/simgen.opt \
                          $(BRAMINIT_ELF_FILES)
                          $(BRAMINIT_ELF_FILES)
        @echo "*********************************************"
        @echo "*********************************************"
        @echo "Creating behavioral simulation models..."
        @echo "Creating behavioral simulation models..."
        @echo "*********************************************"
        @echo "*********************************************"
        simgen $(SIMGEN_OPTIONS) -m behavioral $(MHSFILE)
        simgen $(SIMGEN_OPTIONS) -m behavioral $(MHSFILE)
################## STRUCTURAL SIMULATION ##################
################## STRUCTURAL SIMULATION ##################
$(STRUCTURAL_SIM_SCRIPT): $(WRAPPER_NGC_FILES) __xps/simgen.opt \
$(STRUCTURAL_SIM_SCRIPT): $(WRAPPER_NGC_FILES) __xps/simgen.opt \
                          $(BRAMINIT_ELF_FILES)
                          $(BRAMINIT_ELF_FILES)
        @echo "*********************************************"
        @echo "*********************************************"
        @echo "Creating structural simulation models..."
        @echo "Creating structural simulation models..."
        @echo "*********************************************"
        @echo "*********************************************"
        simgen $(SIMGEN_OPTIONS) -sd implementation -m structural $(MHSFILE)
        simgen $(SIMGEN_OPTIONS) -sd implementation -m structural $(MHSFILE)
################## TIMING SIMULATION ##################
################## TIMING SIMULATION ##################
$(TIMING_SIM_SCRIPT): $(SYSTEM_BIT) __xps/simgen.opt \
$(TIMING_SIM_SCRIPT): $(SYSTEM_BIT) __xps/simgen.opt \
                      $(BRAMINIT_ELF_FILES)
                      $(BRAMINIT_ELF_FILES)
        @echo "*********************************************"
        @echo "*********************************************"
        @echo "Creating timing simulation models..."
        @echo "Creating timing simulation models..."
        @echo "*********************************************"
        @echo "*********************************************"
        simgen $(SIMGEN_OPTIONS) -sd implementation -m timing $(MHSFILE)
        simgen $(SIMGEN_OPTIONS) -sd implementation -m timing $(MHSFILE)
#################################################################
#################################################################
# VIRTUAL PLATFORM FLOW
# VIRTUAL PLATFORM FLOW
#################################################################
#################################################################
$(VPEXEC): $(MHSFILE) __xps/vpgen.opt
$(VPEXEC): $(MHSFILE) __xps/vpgen.opt
        @echo "****************************************************"
        @echo "****************************************************"
        @echo "Creating virtual platform for hardware specification.."
        @echo "Creating virtual platform for hardware specification.."
        @echo "****************************************************"
        @echo "****************************************************"
        vpgen $(VPGEN_OPTIONS) $(MHSFILE)
        vpgen $(VPGEN_OPTIONS) $(MHSFILE)
dummy:
dummy:
        @echo ""
        @echo ""
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.