OpenCores
URL https://opencores.org/ocsvn/mkjpeg/mkjpeg/trunk

Subversion Repositories mkjpeg

[/] [mkjpeg/] [trunk/] [design/] [JFIFGen/] [HeaderRAM.v] - Diff between revs 37 and 38

Only display areas with differences | Details | Blame | View Log

Rev 37 Rev 38
module HeaderRam(d, waddr, raddr, we, clk, q);
module HeaderRam(d, waddr, raddr, we, clk, q);
output [7:0] q;
output [7:0] q;
input [7:0] d;
input [7:0] d;
input[9:0] raddr;
input[9:0] raddr;
input[9:0] waddr;
input[9:0] waddr;
input clk, we;
input clk, we;
 
 
reg [9:0] read_addr;
reg [9:0] read_addr;
reg[7:0] mem [1023:0] /* synthesis syn_ramstyle="block_ram" */;
reg[7:0] mem [1023:0] /* synthesis syn_ramstyle="block_ram" */;
 
 
initial $readmemh("header.hex", mem);
initial $readmemh("../design/jfifgen/header.hex", mem);
 
 
assign q = mem[read_addr];
assign q = mem[read_addr];
 
 
always @(posedge clk) begin
always @(posedge clk) begin
if (we)
if (we)
mem[waddr] <= d;
mem[waddr] <= d;
read_addr <= raddr;
read_addr <= raddr;
end
end
 
 
endmodule
endmodule
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.