OpenCores
URL https://opencores.org/ocsvn/mod_sim_exp/mod_sim_exp/trunk

Subversion Repositories mod_sim_exp

[/] [mod_sim_exp/] [tags/] [start_version/] [rtl/] [vhdl/] [core/] [operands_sp.vhd] - Diff between revs 2 and 48

Only display areas with differences | Details | Blame | View Log

Rev 2 Rev 48
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
--     This file is owned and controlled by Xilinx and must be used           --
--     This file is owned and controlled by Xilinx and must be used           --
--     solely for design, simulation, implementation and creation of          --
--     solely for design, simulation, implementation and creation of          --
--     design files limited to Xilinx devices or technologies. Use            --
--     design files limited to Xilinx devices or technologies. Use            --
--     with non-Xilinx devices or technologies is expressly prohibited        --
--     with non-Xilinx devices or technologies is expressly prohibited        --
--     and immediately terminates your license.                               --
--     and immediately terminates your license.                               --
--                                                                            --
--                                                                            --
--     XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS"          --
--     XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS"          --
--     SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR                --
--     SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR                --
--     XILINX DEVICES.  BY PROVIDING THIS DESIGN, CODE, OR INFORMATION        --
--     XILINX DEVICES.  BY PROVIDING THIS DESIGN, CODE, OR INFORMATION        --
--     AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION            --
--     AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION            --
--     OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS              --
--     OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS              --
--     IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT,                --
--     IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT,                --
--     AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE       --
--     AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE       --
--     FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY DISCLAIMS ANY               --
--     FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY DISCLAIMS ANY               --
--     WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE                --
--     WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE                --
--     IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR         --
--     IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR         --
--     REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF        --
--     REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF        --
--     INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS        --
--     INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS        --
--     FOR A PARTICULAR PURPOSE.                                              --
--     FOR A PARTICULAR PURPOSE.                                              --
--                                                                            --
--                                                                            --
--     Xilinx products are not intended for use in life support               --
--     Xilinx products are not intended for use in life support               --
--     appliances, devices, or systems. Use in such applications are          --
--     appliances, devices, or systems. Use in such applications are          --
--     expressly prohibited.                                                  --
--     expressly prohibited.                                                  --
--                                                                            --
--                                                                            --
--     (c) Copyright 1995-2009 Xilinx, Inc.                                   --
--     (c) Copyright 1995-2009 Xilinx, Inc.                                   --
--     All rights reserved.                                                   --
--     All rights reserved.                                                   --
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
-- You must compile the wrapper file operands_sp.vhd when simulating
-- You must compile the wrapper file operands_sp.vhd when simulating
-- the core, operands_sp. When compiling the wrapper file, be sure to
-- the core, operands_sp. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
-- instructions, please refer to the "CORE Generator Help".
 
 
-- The synthesis directives "translate_off/translate_on" specified
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
 
 
LIBRARY ieee;
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
-- synthesis translate_off
Library XilinxCoreLib;
Library XilinxCoreLib;
-- synthesis translate_on
-- synthesis translate_on
ENTITY operands_sp IS
ENTITY operands_sp IS
        port (
        port (
        clka: IN std_logic;
        clka: IN std_logic;
        wea: IN std_logic_VECTOR(0 downto 0);
        wea: IN std_logic_VECTOR(0 downto 0);
        addra: IN std_logic_VECTOR(4 downto 0);
        addra: IN std_logic_VECTOR(4 downto 0);
        dina: IN std_logic_VECTOR(31 downto 0);
        dina: IN std_logic_VECTOR(31 downto 0);
        douta: OUT std_logic_VECTOR(511 downto 0));
        douta: OUT std_logic_VECTOR(511 downto 0));
END operands_sp;
END operands_sp;
 
 
ARCHITECTURE operands_sp_a OF operands_sp IS
ARCHITECTURE operands_sp_a OF operands_sp IS
-- synthesis translate_off
-- synthesis translate_off
component wrapped_operands_sp
component wrapped_operands_sp
        port (
        port (
        clka: IN std_logic;
        clka: IN std_logic;
        wea: IN std_logic_VECTOR(0 downto 0);
        wea: IN std_logic_VECTOR(0 downto 0);
        addra: IN std_logic_VECTOR(4 downto 0);
        addra: IN std_logic_VECTOR(4 downto 0);
        dina: IN std_logic_VECTOR(31 downto 0);
        dina: IN std_logic_VECTOR(31 downto 0);
        douta: OUT std_logic_VECTOR(511 downto 0));
        douta: OUT std_logic_VECTOR(511 downto 0));
end component;
end component;
 
 
-- Configuration specification 
-- Configuration specification 
        for all : wrapped_operands_sp use entity XilinxCoreLib.blk_mem_gen_v3_3(behavioral)
        for all : wrapped_operands_sp use entity XilinxCoreLib.blk_mem_gen_v3_3(behavioral)
                generic map(
                generic map(
                        c_has_regceb => 0,
                        c_has_regceb => 0,
                        c_has_regcea => 0,
                        c_has_regcea => 0,
                        c_mem_type => 0,
                        c_mem_type => 0,
                        c_rstram_b => 0,
                        c_rstram_b => 0,
                        c_rstram_a => 0,
                        c_rstram_a => 0,
                        c_has_injecterr => 0,
                        c_has_injecterr => 0,
                        c_rst_type => "SYNC",
                        c_rst_type => "SYNC",
                        c_prim_type => 1,
                        c_prim_type => 1,
                        c_read_width_b => 32,
                        c_read_width_b => 32,
                        c_initb_val => "0",
                        c_initb_val => "0",
                        c_family => "virtex6",
                        c_family => "virtex6",
                        c_read_width_a => 512,
                        c_read_width_a => 512,
                        c_disable_warn_bhv_coll => 0,
                        c_disable_warn_bhv_coll => 0,
                        c_write_mode_b => "WRITE_FIRST",
                        c_write_mode_b => "WRITE_FIRST",
                        c_init_file_name => "no_coe_file_loaded",
                        c_init_file_name => "no_coe_file_loaded",
                        c_write_mode_a => "WRITE_FIRST",
                        c_write_mode_a => "WRITE_FIRST",
                        c_mux_pipeline_stages => 0,
                        c_mux_pipeline_stages => 0,
                        c_has_mem_output_regs_b => 0,
                        c_has_mem_output_regs_b => 0,
                        c_has_mem_output_regs_a => 0,
                        c_has_mem_output_regs_a => 0,
                        c_load_init_file => 0,
                        c_load_init_file => 0,
                        c_xdevicefamily => "virtex6",
                        c_xdevicefamily => "virtex6",
                        c_write_depth_b => 32,
                        c_write_depth_b => 32,
                        c_write_depth_a => 32,
                        c_write_depth_a => 32,
                        c_has_rstb => 0,
                        c_has_rstb => 0,
                        c_has_rsta => 0,
                        c_has_rsta => 0,
                        c_has_mux_output_regs_b => 0,
                        c_has_mux_output_regs_b => 0,
                        c_inita_val => "0",
                        c_inita_val => "0",
                        c_has_mux_output_regs_a => 0,
                        c_has_mux_output_regs_a => 0,
                        c_addra_width => 5,
                        c_addra_width => 5,
                        c_addrb_width => 5,
                        c_addrb_width => 5,
                        c_default_data => "0",
                        c_default_data => "0",
                        c_use_ecc => 0,
                        c_use_ecc => 0,
                        c_algorithm => 1,
                        c_algorithm => 1,
                        c_disable_warn_bhv_range => 0,
                        c_disable_warn_bhv_range => 0,
                        c_write_width_b => 32,
                        c_write_width_b => 32,
                        c_write_width_a => 32,
                        c_write_width_a => 32,
                        c_read_depth_b => 32,
                        c_read_depth_b => 32,
                        c_read_depth_a => 2,
                        c_read_depth_a => 2,
                        c_byte_size => 9,
                        c_byte_size => 9,
                        c_sim_collision_check => "ALL",
                        c_sim_collision_check => "ALL",
                        c_common_clk => 0,
                        c_common_clk => 0,
                        c_wea_width => 1,
                        c_wea_width => 1,
                        c_has_enb => 0,
                        c_has_enb => 0,
                        c_web_width => 1,
                        c_web_width => 1,
                        c_has_ena => 0,
                        c_has_ena => 0,
                        c_use_byte_web => 0,
                        c_use_byte_web => 0,
                        c_use_byte_wea => 0,
                        c_use_byte_wea => 0,
                        c_rst_priority_b => "CE",
                        c_rst_priority_b => "CE",
                        c_rst_priority_a => "CE",
                        c_rst_priority_a => "CE",
                        c_use_default_data => 0);
                        c_use_default_data => 0);
-- synthesis translate_on
-- synthesis translate_on
BEGIN
BEGIN
-- synthesis translate_off
-- synthesis translate_off
U0 : wrapped_operands_sp
U0 : wrapped_operands_sp
                port map (
                port map (
                        clka => clka,
                        clka => clka,
                        wea => wea,
                        wea => wea,
                        addra => addra,
                        addra => addra,
                        dina => dina,
                        dina => dina,
                        douta => douta);
                        douta => douta);
-- synthesis translate_on
-- synthesis translate_on
 
 
END operands_sp_a;
END operands_sp_a;
 
 
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.