OpenCores
URL https://opencores.org/ocsvn/ofdm/ofdm/trunk

Subversion Repositories ofdm

[/] [ofdm/] [branches/] [avendor/] [conj.vhd] - Diff between revs 4 and 13

Only display areas with differences | Details | Blame | View Log

Rev 4 Rev 13
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Title      : Conj.vhd
-- Title      : Conj.vhd
-- Project    : 
-- Project    : 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- File       : invsignal.vhd
-- File       : invsignal.vhd
-- Author     : 
-- Author     : 
-- Company    : 
-- Company    : 
-- Created    : 2003-11-28
-- Created    : 2003-11-28
-- Last update: 2003-12-05
-- Last update: 2003-12-05
-- Platform   : 
-- Platform   : 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Description: Faz o conjugado do sinal de entrada
-- Description: Faz o conjugado do sinal de entrada
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Copyright (c) 2003 
-- Copyright (c) 2003 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Revisions  :
-- Revisions  :
-- Date        Version  Author  Description
-- Date        Version  Author  Description
-- 2003-11-28  1.0      tmsiqueira      Created
-- 2003-11-28  1.0      tmsiqueira      Created
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
 
 
 
 
library IEEE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_SIGNED.ALL;
use IEEE.STD_LOGIC_SIGNED.ALL;
 
 
--  Uncomment the following lines to use the declarations that are
--  Uncomment the following lines to use the declarations that are
--  provided for instantiating Xilinx primitive components.
--  provided for instantiating Xilinx primitive components.
--library UNISIM;
--library UNISIM;
--use UNISIM.VComponents.all;
--use UNISIM.VComponents.all;
 
 
entity conj is
entity conj is
generic (
generic (
      width : natural);
      width : natural);
 
 
    port (
    port (
      inR : in  std_logic_vector(WIDTH-1 downto 0);
      inR : in  std_logic_vector(WIDTH-1 downto 0);
      inI : in  std_logic_vector(WIDTH-1 downto 0);
      inI : in  std_logic_vector(WIDTH-1 downto 0);
      outR : out std_logic_vector(WIDTH-1 downto 0);
      outR : out std_logic_vector(WIDTH-1 downto 0);
      outI : out std_logic_vector(WIDTH-1 downto 0);
      outI : out std_logic_vector(WIDTH-1 downto 0);
                clk  : in  std_logic;
                clk  : in  std_logic;
      conj  : in  std_logic);
      conj  : in  std_logic);
 
 
end conj;
end conj;
 
 
architecture conj of conj is
architecture conj of conj is
 
 
begin
begin
 
 
   process(clk)
   process(clk)
        begin
        begin
           if clk'event and clk='1' then
           if clk'event and clk='1' then
                   case conj is
                   case conj is
                           when '0' =>
                           when '0' =>
                                   outR <= inR;
                                   outR <= inR;
                                        outI <= inI;
                                        outI <= inI;
                                when '1' =>
                                when '1' =>
                                   outR <= inR;
                                   outR <= inR;
                                        outI <= 0-inI;
                                        outI <= 0-inI;
                                when others =>
                                when others =>
                                   null;
                                   null;
                        end case;
                        end case;
                end if;
                end if;
        end process;
        end process;
 
 
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.