OpenCores
URL https://opencores.org/ocsvn/ofdm/ofdm/trunk

Subversion Repositories ofdm

[/] [ofdm/] [branches/] [avendor/] [vhdl/] [serparser.vhd] - Diff between revs 2 and 13

Only display areas with differences | Details | Blame | View Log

Rev 2 Rev 13
library IEEE;
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_ARITH.all;
use IEEE.STD_LOGIC_ARITH.all;
use IEEE.STD_LOGIC_UNSIGNED.all;
use IEEE.STD_LOGIC_UNSIGNED.all;
 
 
--  Uncomment the following lines to use the declarations that are
--  Uncomment the following lines to use the declarations that are
--  provided for instantiating Xilinx primitive components.
--  provided for instantiating Xilinx primitive components.
--library UNISIM;
--library UNISIM;
--use UNISIM.VComponents.all;
--use UNISIM.VComponents.all;
 
 
entity serparser is
entity serparser is
  port (
  port (
        clk : in  std_logic;
        clk : in  std_logic;
        rst : in  std_logic;
        rst : in  std_logic;
                  a : in  std_logic;
                  a : in  std_logic;
        b : out std_logic);
        b : out std_logic);
end serparser;
end serparser;
 
 
architecture serparser of serparser is
architecture serparser of serparser is
 
 
  component parallel
  component parallel
    port (
    port (
      clk    : in  std_logic;
      clk    : in  std_logic;
      rst    : in  std_logic;
      rst    : in  std_logic;
      input  : in  std_logic;
      input  : in  std_logic;
      output : out std_logic_vector(1 downto 0));
      output : out std_logic_vector(1 downto 0));
  end component;
  end component;
 
 
  component serial
  component serial
    port (
    port (
      clk    : in  std_logic;
      clk    : in  std_logic;
      rst    : in  std_logic;
      rst    : in  std_logic;
      input  : in  std_logic_vector(1 downto 0);
      input  : in  std_logic_vector(1 downto 0);
      output : out std_logic);
      output : out std_logic);
  end component;
  end component;
 
 
  signal aux : std_logic_vector(1 downto 0);
  signal aux : std_logic_vector(1 downto 0);
 
 
begin
begin
 
 
  parallel_1 : parallel
  parallel_1 : parallel
    port map (
    port map (
      clk    => clk,
      clk    => clk,
      rst    => rst,
      rst    => rst,
      input  => a,
      input  => a,
      output => aux);
      output => aux);
 
 
  serial_1 : serial
  serial_1 : serial
    port map (
    port map (
      clk    => clk,
      clk    => clk,
      rst    => rst,
      rst    => rst,
      input  => aux,
      input  => aux,
      output => b);
      output => b);
 
 
end serparser;
end serparser;
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.