OpenCores
URL https://opencores.org/ocsvn/openrisc_me/openrisc_me/trunk

Subversion Repositories openrisc_me

[/] [openrisc/] [tags/] [gdb/] [gdb-6.8/] [gdb-6.8.openrisc-2.1/] [sim/] [testsuite/] [sim/] [m32r/] [sth-d.cgs] - Diff between revs 24 and 33

Only display areas with differences | Details | Blame | View Log

Rev 24 Rev 33
# m32r testcase for sth $src1,@($slo16,$src2)
# m32r testcase for sth $src1,@($slo16,$src2)
# mach(): m32r m32rx
# mach(): m32r m32rx
        .include "testutils.inc"
        .include "testutils.inc"
        start
        start
        .global sth_d
        .global sth_d
sth_d:
sth_d:
        mvaddr_h_gr r4, data_loc
        mvaddr_h_gr r4, data_loc
        mvi_h_gr    r5, 0x123456
        mvi_h_gr    r5, 0x123456
        sth r5, @(#8,r4)
        sth r5, @(#8,r4)
        mvaddr_h_gr r4, data_loc2
        mvaddr_h_gr r4, data_loc2
        ld r4, @r4
        ld r4, @r4
        test_h_gr r4, 0x34560000 ; big endian processor
        test_h_gr r4, 0x34560000 ; big endian processor
        pass
        pass
data_loc:
data_loc:
        .word 0
        .word 0
        .word 0
        .word 0
data_loc2:
data_loc2:
        .word 0
        .word 0
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.