OpenCores
URL https://opencores.org/ocsvn/openrisc/openrisc/trunk

Subversion Repositories openrisc

[/] [openrisc/] [tags/] [gnu-src/] [gcc-4.5.1/] [gcc-4.5.1-or32-1.0rc1/] [gcc/] [testsuite/] [gcc.c-torture/] [unsorted/] [lll.c] - Diff between revs 297 and 338

Only display areas with differences | Details | Blame | View Log

Rev 297 Rev 338
 
 
byte_match_count2 (buf, n, xm, m1, m2, m3, m4)
byte_match_count2 (buf, n, xm, m1, m2, m3, m4)
     unsigned *buf;
     unsigned *buf;
     unsigned n;
     unsigned n;
     unsigned xm;
     unsigned xm;
     unsigned m1, m2, m3, m4;
     unsigned m1, m2, m3, m4;
{
{
  unsigned w, cnt = 0;
  unsigned w, cnt = 0;
  unsigned *bp;
  unsigned *bp;
 
 
  n /= 4;
  n /= 4;
 
 
  bp = buf;
  bp = buf;
  while (bp < buf + n)
  while (bp < buf + n)
    {
    {
      w = *bp++;
      w = *bp++;
      w ^= xm;
      w ^= xm;
      cnt += ((m1 & w) == 0);
      cnt += ((m1 & w) == 0);
      cnt += ((m2 & w) == 0);
      cnt += ((m2 & w) == 0);
      cnt += ((m3 & w) == 0);
      cnt += ((m3 & w) == 0);
      cnt += ((m4 & w) == 0);
      cnt += ((m4 & w) == 0);
 
 
      w = *bp++;
      w = *bp++;
      w ^= xm;
      w ^= xm;
      cnt += ((m1 & w) == 0);
      cnt += ((m1 & w) == 0);
      cnt += ((m2 & w) == 0);
      cnt += ((m2 & w) == 0);
      cnt += ((m3 & w) == 0);
      cnt += ((m3 & w) == 0);
      cnt += ((m4 & w) == 0);
      cnt += ((m4 & w) == 0);
 
 
      w = *bp++;
      w = *bp++;
      w ^= xm;
      w ^= xm;
      cnt += ((m1 & w) == 0);
      cnt += ((m1 & w) == 0);
      cnt += ((m2 & w) == 0);
      cnt += ((m2 & w) == 0);
      cnt += ((m3 & w) == 0);
      cnt += ((m3 & w) == 0);
      cnt += ((m4 & w) == 0);
      cnt += ((m4 & w) == 0);
 
 
      w = *bp++;
      w = *bp++;
      w ^= xm;
      w ^= xm;
      cnt += ((m1 & w) == 0);
      cnt += ((m1 & w) == 0);
      cnt += ((m2 & w) == 0);
      cnt += ((m2 & w) == 0);
      cnt += ((m3 & w) == 0);
      cnt += ((m3 & w) == 0);
      cnt += ((m4 & w) == 0);
      cnt += ((m4 & w) == 0);
    }
    }
  return cnt;
  return cnt;
}
}
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.