OpenCores
URL https://opencores.org/ocsvn/openrisc/openrisc/trunk

Subversion Repositories openrisc

[/] [openrisc/] [tags/] [gnu-src/] [gcc-4.5.1/] [gcc-4.5.1-or32-1.0rc1/] [gcc/] [testsuite/] [gcc.target/] [i386/] [sse4_1-pmaxuw.c] - Diff between revs 318 and 338

Only display areas with differences | Details | Blame | View Log

Rev 318 Rev 338
/* { dg-do run } */
/* { dg-do run } */
/* { dg-require-effective-target sse4 } */
/* { dg-require-effective-target sse4 } */
/* { dg-options "-O2 -msse4.1" } */
/* { dg-options "-O2 -msse4.1" } */
 
 
#ifndef CHECK_H
#ifndef CHECK_H
#define CHECK_H "sse4_1-check.h"
#define CHECK_H "sse4_1-check.h"
#endif
#endif
 
 
#ifndef TEST
#ifndef TEST
#define TEST sse4_1_test
#define TEST sse4_1_test
#endif
#endif
 
 
#include CHECK_H
#include CHECK_H
 
 
#include <smmintrin.h>
#include <smmintrin.h>
 
 
#define NUM 64
#define NUM 64
 
 
static void
static void
TEST (void)
TEST (void)
{
{
  union
  union
    {
    {
      __m128i x[NUM / 8];
      __m128i x[NUM / 8];
      unsigned short i[NUM];
      unsigned short i[NUM];
    } dst, src1, src2;
    } dst, src1, src2;
  int i;
  int i;
  unsigned short max;
  unsigned short max;
 
 
  for (i = 0; i < NUM; i++)
  for (i = 0; i < NUM; i++)
    {
    {
      src1.i[i] = i * i;
      src1.i[i] = i * i;
      src2.i[i] = i + 20;
      src2.i[i] = i + 20;
      if ((i % 8))
      if ((i % 8))
        src2.i[i] |= 0x8000;
        src2.i[i] |= 0x8000;
    }
    }
 
 
  for (i = 0; i < NUM; i += 8)
  for (i = 0; i < NUM; i += 8)
    dst.x[i / 8] = _mm_max_epu16 (src1.x[i / 8], src2.x[i / 8]);
    dst.x[i / 8] = _mm_max_epu16 (src1.x[i / 8], src2.x[i / 8]);
 
 
  for (i = 0; i < NUM; i++)
  for (i = 0; i < NUM; i++)
    {
    {
      max = src1.i[i] <= src2.i[i] ? src2.i[i] : src1.i[i];
      max = src1.i[i] <= src2.i[i] ? src2.i[i] : src1.i[i];
      if (max != dst.i[i])
      if (max != dst.i[i])
        abort ();
        abort ();
    }
    }
}
}
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.