OpenCores
URL https://opencores.org/ocsvn/openrisc_2011-10-31/openrisc_2011-10-31/trunk

Subversion Repositories openrisc_2011-10-31

[/] [openrisc/] [tags/] [gnu-src/] [gcc-4.5.1/] [gcc-4.5.1-or32-1.0rc1/] [gcc/] [testsuite/] [gnat.dg/] [frame_overflow.adb] - Diff between revs 304 and 338

Only display areas with differences | Details | Blame | View Log

Rev 304 Rev 338
-- { dg-do compile }
-- { dg-do compile }
 
 
with System;
with System;
 
 
procedure frame_overflow is
procedure frame_overflow is
 
 
   type Bitpos_Range_T is range 1..2**(System.Word_Size-1)-1;
   type Bitpos_Range_T is range 1..2**(System.Word_Size-1)-1;
   type Bitmap_Array_T is array (Bitpos_Range_T) of Boolean;
   type Bitmap_Array_T is array (Bitpos_Range_T) of Boolean;
 
 
   type Bitmap_T is record
   type Bitmap_T is record
      Bits : Bitmap_Array_T := (others => False);
      Bits : Bitmap_Array_T := (others => False);
   end record;
   end record;
 
 
   function -- { dg-error "too large" }
   function -- { dg-error "too large" }
     Set_In (Bitmap : Bitmap_T; Bitpos : Bitpos_Range_T)  return Bitmap_T
     Set_In (Bitmap : Bitmap_T; Bitpos : Bitpos_Range_T)  return Bitmap_T
   is
   is
      Result: Bitmap_T := Bitmap; -- { dg-error "Storage_Error" }
      Result: Bitmap_T := Bitmap; -- { dg-error "Storage_Error" }
   begin
   begin
      Result.Bits (Bitpos) := True;
      Result.Bits (Bitpos) := True;
      return Result;
      return Result;
   end;
   end;
 
 
   function Negate (Bitmap : Bitmap_T) return Bitmap_T is
   function Negate (Bitmap : Bitmap_T) return Bitmap_T is
      Result: Bitmap_T; -- { dg-error "Storage_Error" }
      Result: Bitmap_T; -- { dg-error "Storage_Error" }
   begin
   begin
      for E in Bitpos_Range_T loop
      for E in Bitpos_Range_T loop
        Result.Bits (E) := not Bitmap.Bits (E);
        Result.Bits (E) := not Bitmap.Bits (E);
      end loop;
      end loop;
      return Result;
      return Result;
  end;
  end;
 
 
begin
begin
   null;
   null;
end;
end;
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.