OpenCores
URL https://opencores.org/ocsvn/openrisc_2011-10-31/openrisc_2011-10-31/trunk

Subversion Repositories openrisc_2011-10-31

[/] [openrisc/] [tags/] [gnu-src/] [gcc-4.5.1/] [gcc-4.5.1-or32-1.0rc2/] [gcc/] [testsuite/] [gfortran.dg/] [bind_c_usage_10_c.c] - Diff between revs 302 and 384

Only display areas with differences | Details | Blame | View Log

Rev 302 Rev 384
/* Check BIND(C) for ENTRY
/* Check BIND(C) for ENTRY
   PR fortran/34079
   PR fortran/34079
   To be linked with bind_c_usage_10.f03
   To be linked with bind_c_usage_10.f03
*/
*/
 
 
void mySub1(int *);
void mySub1(int *);
void mySub3(int *);
void mySub3(int *);
void mySubEnt2(float *);
void mySubEnt2(float *);
void mySubEnt3(float *);
void mySubEnt3(float *);
void sub4ent(float *);
void sub4ent(float *);
 
 
int myFunc1(void);
int myFunc1(void);
int myFunc3(void);
int myFunc3(void);
float myFuncEnt2(void);
float myFuncEnt2(void);
float myFuncEnt3(void);
float myFuncEnt3(void);
float func4ent(void);
float func4ent(void);
 
 
extern void abort(void);
extern void abort(void);
 
 
int main()
int main()
{
{
  int i = -1;
  int i = -1;
  float r = -3.0f;
  float r = -3.0f;
 
 
  mySub1(&i);
  mySub1(&i);
  if(i != 5) abort();
  if(i != 5) abort();
  mySub3(&i);
  mySub3(&i);
  if(i != 7) abort();
  if(i != 7) abort();
  mySubEnt2(&r);
  mySubEnt2(&r);
  if(r != 66.0f) abort();
  if(r != 66.0f) abort();
  mySubEnt3(&r);
  mySubEnt3(&r);
  if(r != 77.0f) abort();
  if(r != 77.0f) abort();
  sub4ent(&r);
  sub4ent(&r);
  if(r != 88.0f) abort();
  if(r != 88.0f) abort();
 
 
  i = myFunc1();
  i = myFunc1();
  if(i != -5) abort();
  if(i != -5) abort();
  i = myFunc3();
  i = myFunc3();
  if(i != -7) abort();
  if(i != -7) abort();
  r = myFuncEnt2();
  r = myFuncEnt2();
  if(r != -66.0f) abort();
  if(r != -66.0f) abort();
  r = myFuncEnt3();
  r = myFuncEnt3();
  if(r != -77.0f) abort();
  if(r != -77.0f) abort();
  r = func4ent();
  r = func4ent();
  if(r != -88.0f) abort();
  if(r != -88.0f) abort();
 
 
  return 0;
  return 0;
}
}
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.