OpenCores
URL https://opencores.org/ocsvn/openrisc/openrisc/trunk

Subversion Repositories openrisc

[/] [openrisc/] [tags/] [gnu-src/] [gcc-4.5.1/] [gcc-4.5.1-or32-1.0rc4/] [gcc/] [testsuite/] [gcc.target/] [xstormy16/] [data_below100/] [25_clr_b100w_bitfield_0.c] - Diff between revs 329 and 519

Only display areas with differences | Details | Blame | View Log

Rev 329 Rev 519
/* { dg-options { -nostartfiles below100.o -Tbelow100.ld -O2 } } */
/* { dg-options { -nostartfiles below100.o -Tbelow100.ld -O2 } } */
/* { dg-final { scan-assembler "clr1 B100,#0" } } */
/* { dg-final { scan-assembler "clr1 B100,#0" } } */
 
 
typedef struct
typedef struct
{
{
  unsigned short b0:1;
  unsigned short b0:1;
  unsigned short b1:1;
  unsigned short b1:1;
  unsigned short b2:1;
  unsigned short b2:1;
  unsigned short b3:1;
  unsigned short b3:1;
  unsigned short b4:1;
  unsigned short b4:1;
  unsigned short b5:1;
  unsigned short b5:1;
  unsigned short b6:1;
  unsigned short b6:1;
  unsigned short b7:1;
  unsigned short b7:1;
  unsigned short b8:1;
  unsigned short b8:1;
  unsigned short b9:1;
  unsigned short b9:1;
  unsigned short b10:1;
  unsigned short b10:1;
  unsigned short b11:1;
  unsigned short b11:1;
  unsigned short b12:1;
  unsigned short b12:1;
  unsigned short b13:1;
  unsigned short b13:1;
  unsigned short b14:1;
  unsigned short b14:1;
  unsigned short b15:1;
  unsigned short b15:1;
} BitField;
} BitField;
 
 
char acDummy[0xf0] __attribute__ ((__BELOW100__));
char acDummy[0xf0] __attribute__ ((__BELOW100__));
BitField B100 __attribute__ ((__BELOW100__)) =
BitField B100 __attribute__ ((__BELOW100__)) =
{
{
1, 1, 0, 1, 0, 0, 1, 1, 1, 0, 1, 1, 0, 1, 1, 1};
1, 1, 0, 1, 0, 0, 1, 1, 1, 0, 1, 1, 0, 1, 1, 1};
unsigned short *p = (unsigned short *) &B100;
unsigned short *p = (unsigned short *) &B100;
 
 
void
void
Do (void)
Do (void)
{
{
  B100.b0 = 0;
  B100.b0 = 0;
}
}
 
 
int
int
main (void)
main (void)
{
{
  Do ();
  Do ();
  return (*p == 0xedca) ? 0 : 1;
  return (*p == 0xedca) ? 0 : 1;
}
}
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.