OpenCores
URL https://opencores.org/ocsvn/openrisc/openrisc/trunk

Subversion Repositories openrisc

[/] [openrisc/] [tags/] [gnu-src/] [newlib-1.18.0/] [newlib-1.18.0-or32-1.0rc2/] [newlib/] [libc/] [sys/] [arm/] [libcfunc.c] - Diff between revs 207 and 520

Only display areas with differences | Details | Blame | View Log

Rev 207 Rev 520
/* Support files for GNU libc.  Files in the C namespace go here.
/* Support files for GNU libc.  Files in the C namespace go here.
   Files in the system namespace (ie those that start with an underscore)
   Files in the system namespace (ie those that start with an underscore)
   go in syscalls.c.
   go in syscalls.c.
 
 
   Note: These functions are in a seperate file so that OS providers can
   Note: These functions are in a seperate file so that OS providers can
   overrride the system call stubs (defined in syscalls.c) without having
   overrride the system call stubs (defined in syscalls.c) without having
   to provide libc funcitons as well.  */
   to provide libc funcitons as well.  */
#include "swi.h"
#include "swi.h"
 
 
#ifdef ARM_RDI_MONITOR
#ifdef ARM_RDI_MONITOR
 
 
static inline int
static inline int
do_AngelSWI (int reason, void * arg)
do_AngelSWI (int reason, void * arg)
{
{
  int value;
  int value;
  asm volatile ("mov r0, %1; mov r1, %2; " AngelSWIInsn " %a3; mov %0, r0"
  asm volatile ("mov r0, %1; mov r1, %2; " AngelSWIInsn " %a3; mov %0, r0"
       : "=r" (value) /* Outputs */
       : "=r" (value) /* Outputs */
       : "r" (reason), "r" (arg), "i" (AngelSWI) /* Inputs */
       : "r" (reason), "r" (arg), "i" (AngelSWI) /* Inputs */
       : "r0", "r1", "lr"
       : "r0", "r1", "lr"
                /* Clobbers r0 and r1, and lr if in supervisor mode */);
                /* Clobbers r0 and r1, and lr if in supervisor mode */);
  return value;
  return value;
}
}
#endif /* ARM_RDI_MONITOR */
#endif /* ARM_RDI_MONITOR */
 
 
 
 
void
void
alarm (void)
alarm (void)
{
{
}
}
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.