OpenCores
URL https://opencores.org/ocsvn/openrisc_2011-10-31/openrisc_2011-10-31/trunk

Subversion Repositories openrisc_2011-10-31

[/] [openrisc/] [tags/] [or1ksim/] [or1ksim-0.3.0/] [build/] [mmu/] [.libs/] [libmmu.a] - Diff between revs 19 and 21

Go to most recent revision | Only display areas with differences | Details | Blame | View Log

Rev 19 Rev 21
!
!
/               1223831532  0     0     0       252       `
/               1223831532  0     0     0       252       `
@@@@@@S S S S S S __i686.get_pc_thunk.cxreg_dmmu_sec__i686.get_pc_thunk.bxdmmu_statepeek_into_dtlbdmmu_translatepeek_into_itlb__i686.get_pc_thunk.bximmu_state__i686.get_pc_thunk.cxreg_immu_secimmu_translatedmmu.o/         1223831531  500   500   100664  20900     `
@@@@@@S S S S S S __i686.get_pc_thunk.cxreg_dmmu_sec__i686.get_pc_thunk.bxdmmu_statepeek_into_dtlbdmmu_translatepeek_into_itlb__i686.get_pc_thunk.bximmu_state__i686.get_pc_thunk.cxreg_immu_secimmu_translatedmmu.o/         1223831531  500   500   100664  20900     `
ELF64(UUuE]Í&E]ÍUUEP0]fUUEP4]fUVS D$pD$$D$ƍD$D$4$D$D$4$D$0D$D$4$D$D$D$4$D$D$D$4$D$D$D$4$D$`D$D$4$D$PD$4$D$D$[^]fUSUBwEP,[]Í&D$>D$D$$[]USEHw#UB      []ËD$-D$D$$[]U]uu4$tEp(]u]fD$4D$D$$]u]Ít&U]uu4$tEp]u]fD$3D$D$$]u]Ít&U]uu4$t~:D$4D$D$$]u]Í4$Ur   Љ]u]ÍUVS uF$NFFFЉFF؉FAF$%F tVV(FL$T$щD$Љ
ELF64(UUuE]Í&E]ÍUUEP0]fUUEP4]fUVS D$pD$$D$ƍD$D$4$D$D$4$D$0D$D$4$D$D$D$4$D$D$D$4$D$D$D$4$D$`D$D$4$D$PD$4$D$D$[^]fUSUBwEP,[]Í&D$>D$D$$[]USEHw#UB      []ËD$-D$D$$[]U]uu4$tEp(]u]fD$4D$D$$]u]Ít&U]uu4$tEp]u]fD$3D$D$$]u]Ít&U]uu4$t~:D$4D$D$$]u]Í4$Ur   Љ]u]ÍUVS uF$NFFFЉFF؉FAF$%F tVV(FL$T$щD$Љ
D$D$@$t$$ [^]ÍUWVS,Ep"
D$D$@$t$$ [^]ÍUWVS,Ep"
BD$D$D$$E
BD$D$D$$E
uEUREEƍD$Ɖ$D$UT$B$D$f$D$ED$B$EEU9PEE9EKB$
uEUREEƍD$Ɖ$D$UT$B$D$f$D$ED$B$EEU9PEE9EKB$
D$,[^_]Ë@D$$D$D$$,[^_]Í&'U(]}u$8@@@ @(@,@4@0$       ‹G ‹8]u}]ËD$D$D$$$&UWVS,E苃 EM΋AV$~uIUU!ur#E#E䍌(!9EutEteEtkMt
ME#A     ‰UE,[^_]ËEtUE,[^_]Ë}@uEE,[^_]ÍP$@ T$D$EL$$D$D$D$Ut&냍vҍt&fkvUWVS<E苃 U}֋BvJR$!}}}?#E!Ћ(UE!9EutMXE܋E~.M1%t
D$,[^_]Ë@D$$D$D$$,[^_]Í&'U(]}u$8@@@ @(@,@4@0$       ‹G ‹8]u}]ËD$D$D$$$&UWVS,E苃 EM΋AV$~uIUU!ur#E#E䍌(!9EutEteEtkMt
ME#A     ‰UE,[^_]ËEtUE,[^_]Ë}@uEE,[^_]ÍP$@ T$D$EL$$D$D$D$Ut&냍vҍt&fkvUWVS<E苃 U}֋BvJR$!}}}?#E!Ћ(UE!9EutMXE܋E~.M1%t
@?  ЉE9pڋUMuB       }܃F4G<E0MftDME#A$t$	D$T$D$}ME#A	‰UE<[^_]fUE<[^_]Í&EM@Wu$t$}:fB U܋R$D$T$uD$D$$t$D$URU[ftt&Elvv@u4u܋UM܋uB0A$>$>I&I	:;
@?  ЉE9pڋUMuB       }܃F4G<E0MftDME#A$t$	D$T$D$}ME#A	‰UE<[^_]fUE<[^_]Í&EM@Wu$t$}:fB U܋R$D$T$uD$D$$t$D$URU[ftt&Elvv@u4u܋UM܋uB0A$>$>I&I	:;

:;I8

:;I8
:;
:;I8
:;
:;I8

I!I/:;
:;I8

I!I/:;
:;I8
:;
:;I:;(
'I'I<:;!I/.:;'I :;I4:;I4:;I.:;'@ :;I!:;I
:;
:;I:;(
'I'I<:;!I/.:;'I :;I4:;I4:;I.:;'@ :;I!:;I
"4:;I#.?:;'@$4:;I%&4:;I
"4:;I#.?:;'@$4:;I%&4:;I
'4:;I(4:;I).:;I@*.?:;'I@+:;I,4:;I-4:;I
'4:;I(4:;I).:;I@*.?:;'I@+:;I,4:;I-4:;I
.1XY/1041141234I4
.1XY/1041141234I4
4&I54:;I64:;I74:;I?<84:;I?
4&I54:;I64:;I74:;I?<84:;I?
9!%
:0SintNSXGU^8v.lSp401      |-
9!%
:0SintNSXGU^8v.lSp401      |-
A#
A#
L#
L#
@#
@#
]#
]#
#
#
#
#
#
#
#
#
b#
b#
#$
#$
#(
#(
 #,
 #,
"#0
"#0
~$#4
~$#4
&A#8
&A#8
*A#<
*A#<
j,#@
j,#@
0:#D
0:#D
1h#F
1h#F
2#G
2#G
R6#H
R6#H
?#L
?#L
H#T
H#T
I#X
I#X
J#\
J#\
K#`
K#`
L%#d
L%#d
NA#h
NA#h
P#l'  <##A#
S7
S7'./T####MA#!#A#dA#wv# 3v#(
#0a#8{A#<A#@A#Dv#HA#Pfc{v#v#A#A#tA#ȖMA##A#лѻ#
#
A7	ksim#cpu#Tc#pcucԖ#|IڮAvzR*	###dat###uz	8	"M	#A#v	$A#	%A#	&A#B	'A#A	(#$	)#	*#	+A# 	,#$	-A#(8	.A#,m	/A#0	0A#4>
P#l'  <##A#
S7
S7'./T####MA#!#A#dA#wv# 3v#(
#0a#8{A#<A#@A#Dv#HA#Pfc{v#v#A#A#tA#ȖMA##A#лѻ#
#
A7	ksim#cpu#Tc#pcucԖ#|IڮAvzR*	###dat###uz	8	"M	#A#v	$A#	%A#	&A#B	'A#A	(#$	)#	*#	+A# 	,#$	-A#(8	.A#,m	/A#0	0A#4>
y  G8HA#GI#XJ#5reg6#7#8#9A#pc:#g;#<#=#[>#
7
7      
IV_
JA#

KA#6
LA#
MA#
NA#&
OA#2:9?99set;AiCD
y  G8HA#GI#XJ#5reg6#7#8#9A#pc:#g;#<#=#[>#
7
7      
IV_
JA#

KA#6
LA#
MA#
NA#&
OA#2:9?99set;AiCD
         valB,!datB"DP^@N    !val!dat"f`nl     !val!dat"#;p    $sec<Y0
         valB,!datB"DP^@N    !val!dat"f`nl     !val!dat"#;p    $sec<Y0
 val!dat"0]
 val!dat"0]
 val}C!dat~"%Mj"AW
 val}C!dat~"%Mj"AW
 val!dat"|
 val!dat"|
 val!dat"R`D val^!dat_"a%&eAP&% z dat$C"&bn dat"'setA$wayA(A)pY"&AP"A*`M        #
+O+2A+PA,г, ѳ9?ҳ-ӿuh.V/}/r/g%01b102(   

Q
#
3nH4>*TP      u+S+2SA5iUA`,Vs, W,?X-Yuh.V           b
/}/r/g%            01!1o02
 val!dat"R`D val^!dat_"a%&eAP&% z dat$C"&bn dat"'setA$wayA(A)pY"&AP"A*`M        #
+O+2A+PA,г, ѳ9?ҳ-ӿuh.V/}/r/g%01b102(   

Q
#
3nH4>*TP      u+S+2SA5iUA`,Vs, W,?X-Yuh.V           b
/}/r/g%            01!1o02
Q
#
2x@Qk
k#
2AdQ
#
3n
S7uu627s7y7J7k8p47A7R87
W
S97*25
../../mmu/usr/lib/gcc/i386-redhat-linux/4.3.0/include/usr/include/bits/usr/include../../cpu/or1k../..../../support../../cpu/commondmmu.cstddef.htypes.hstdint.hstdio.hlibio.harch.hsim-config.hdmmu.hdebug.habstract.hexecute.hstats.hPZwZ?N?J0"0$$$$$$$Mm*fMild*'ff?l*\f?l*f?<*u?c?B\9=YghD"}.@h4D'-ak]'
,
k+XXqfguuuuuu|wZdh1_*}.@~*=d=Cz<^hhb@h wARu-n
ty`X~tAf>;->:==^hhd:@$L?>7[:Zi0O(<P _X
tfefJj8jzDdmmuenablednsetsnwayspagesizeentrysizeustateshitdelaymissdelay
Q
#
2x@Qk
k#
2AdQ
#
3n
S7uu627s7y7J7k8p47A7R87
W
S97*25
../../mmu/usr/lib/gcc/i386-redhat-linux/4.3.0/include/usr/include/bits/usr/include../../cpu/or1k../..../../support../../cpu/commondmmu.cstddef.htypes.hstdint.hstdio.hlibio.harch.hsim-config.hdmmu.hdebug.habstract.hexecute.hstats.hPZwZ?N?J0"0$$$$$$$Mm*fMild*'ff?l*\f?l*f?<*u?c?B\9=YghD"}.@h4D'-ak]'
,
k+XXqfguuuuuu|wZdh1_*}.@~*=d=Cz<^hhb@h wARu-n
ty`X~tAf>;->:==^hhd:@$L?>7[:Zi0O(<P _X
tfefJj8jzDdmmuenablednsetsnwayspagesizeentrysizeustateshitdelaymissdelay
DMMU: %s
DMMU: %s
OOM
OOM
Warning number of DMMU usage states must be 2, 3 or 4:ignored
Warning number of DMMU usage states must be 2, 3 or 4:ignored
Warning DMMU nways not in range 1-4: ignored
Warning DMMU nways not in range 1-4: ignored
Warning DMMU entry size must be power of 2: ignored
Warning DMMU entry size must be power of 2: ignored
Warning DMMU page size must be power of 2: ignored
Warning DMMU page size must be power of 2: ignored
Warning DMMU nsets not a power of 2 <= 128: ignored
Warning DMMU nsets not a power of 2 <= 128: ignored
Data MMU %dKB: %d ways, %d sets, entry size %d bytes
Data MMU %dKB: %d ways, %d sets, entry size %d bytes
DMMU not implemented. Set UPR[DMP].
DMMU not implemented. Set UPR[DMP].
DTLB hit (virtaddr=%08x) at %lli.
DTLB hit (virtaddr=%08x) at %lli.
Returning physical address %08x
Returning physical address %08x
DTLB miss (virtaddr=%08x) at %lli.
DTLB miss (virtaddr=%08x) at %lli.
peek_into_dtlbdmmu_translate|DAB
PAB
`AB
p^AB
B`AB
D0pAB
DlAB
FNlAB
FNAB
FN AB
EAB
CpAB
FQ`AB
CP  5AB
CttDuPQtQStS^u`atactcnupqtqstsuVtt0u01t13t3u0Mttutt|u6ttu !t!#t#u wwVuttbu

buY/ul!V',V/_VpqtqstsYu`atactcM  u`uM u`FFuuuu#     # M     u`TTuuM     )QQ#}VVM V#VVulM    ulP Q     tQ S     tS uP           u..uP     
peek_into_dtlbdmmu_translate|DAB
PAB
`AB
p^AB
B`AB
D0pAB
DlAB
FNlAB
FNAB
FN AB
EAB
CpAB
FQ`AB
CP  5AB
CttDuPQtQStS^u`atactcnupqtqstsuVtt0u01t13t3u0Mttutt|u6ttu !t!#t#u wwVuttbu

buY/ul!V',V/_VpqtqstsYu`atactcM  u`uM u`FFuuuu#     # M     u`TTuuM     )QQ#}VVM V#VVulM    ulP Q     tQ S     tS uP           u..uP     


uKKvuvu5
uKKvuvu5
g
g
V       u` 7
V       u` 7
W7
W7
^
^
u`^
u`^
w
w
Ww
Ww
u`.7W9vu`vWu`GW
u`.7W9vu`vWu`GW
ud9ud3
ud9ud3
d
d
Q       up )
Q       up )
R)
R)
up.vupv~R~up  ul.ulT)   reg_dmmu_secpeek_into_dtlb,
dmmu_translatedmmu_stateiqueuesec_endparams_shortbufdtlbtr_IO_lock_twrite_accessdtlbmr_lrustderr__dbchinsn_addr_IO_buf_end__FUNCTION__mem_cyclesdmmustats_entry_IO_write_endunsigned intnextdtlbmr_flags__ORSIM_DBCL_FIXMEparamt_nonedmmu_pagesize_markersloadcyclesstr_valloads_tlbmiss__orsim_dbch___defaultdmmu_find_tlbmrpagesize_log2through_dcicompletiprompt_runuint32_tstdout_IO_save_endfoutmdelaylong long unsigned intvpn_maskfexe_logdmmu_enabled_IO_backup_base_offsetint_valtime_point_filenoaddr_val__dbcldelay_insniqueue_entryparamt_longlongsize_tpage_offset_maskdmmu_nsets_IO_read_baseruntimestdin__ORSIM_DBCL_ERRcycle_duration_nextlru_reload_poshazardwaitdmmu_nwaysstorecyclespic_linesend_setstores_tlbmisslonglong_valcharinsn_ea_modepeek_into_dtlbfilename__orsim_dbch_dmmu_IO_markerinsn_IO_read_ptrdmmu_ustatesdmmu_hitdelaysupercyclesvapifmproforaddr_tpagesize_IO_write_baselong long intdtlb_statusparamt_intdmmu_entrysize_IO_save_baseparam_t../../mmu/dmmu.centrysizeinsn_indexset_bitsdmmu_end_secend_cycles__ORSIM_DEBUG_CLASSparamt_word__quad_tpc_delaydmmu_stateinstructionsdmmu_stats__pad1__pad2__pad3__pad4__pad5paramt_addruorreg_t_vtable_offsetsec_startfprofhushreset_instructions__ORSIM_DBCL_TRACEstalledset_maskstores_pagefaultsustates_IO_read_endenabledshort intloads_tlbhitlong intconfig_paramvapi_filevirtaddrhitdelaystores_tlbhitdmmu_translateGNU C 4.3.0 20080428 (Red Hat 4.3.0-8)param_valdata_cinsetsnamedmmuway_bits/home/jeremy/partners/OpenCores/cvstrees/or1k/or1ksim/build/mmuinit_locklong unsigned int_old_offsetnways_IO_FILEext_intipromptdmmu_missdelaysprsunsigned char_sbufdmmu_start_sec_IO_write_ptrconfig_sectionreg_dmmu_secparamt_strreset_cyclescpu_stateserver_portpage_mask__off_tloads_pagefaultssigned charshort unsigned intdoublemissdelaycycles_chainFILE_flags2__ORSIM_DBCL_WARN_cur_column__off64_t_unused2_IO_buf_baseGCC: (GNU) 4.3.0 20080428 (Red Hat 4.3.0-8)$Ë$.symtab.strtab.shstrtab.rel.text.data.bss.debug_abbrev.rel.debug_info.rel.debug_line.rodata.str1.1.rodata.str1.4.rodata.rel.debug_frame.debug_loc.rel.debug_pubnames.rel.debug_aranges.debug_str.comment.text.__i686.get_pc_thunk.cx.text.__i686.get_pc_thunk.bx.note.GNU-stack.group%49%<=P	dB%+0B)>    F        RN  P
up.vupv~R~up  ul.ulT)   reg_dmmu_secpeek_into_dtlb,
dmmu_translatedmmu_stateiqueuesec_endparams_shortbufdtlbtr_IO_lock_twrite_accessdtlbmr_lrustderr__dbchinsn_addr_IO_buf_end__FUNCTION__mem_cyclesdmmustats_entry_IO_write_endunsigned intnextdtlbmr_flags__ORSIM_DBCL_FIXMEparamt_nonedmmu_pagesize_markersloadcyclesstr_valloads_tlbmiss__orsim_dbch___defaultdmmu_find_tlbmrpagesize_log2through_dcicompletiprompt_runuint32_tstdout_IO_save_endfoutmdelaylong long unsigned intvpn_maskfexe_logdmmu_enabled_IO_backup_base_offsetint_valtime_point_filenoaddr_val__dbcldelay_insniqueue_entryparamt_longlongsize_tpage_offset_maskdmmu_nsets_IO_read_baseruntimestdin__ORSIM_DBCL_ERRcycle_duration_nextlru_reload_poshazardwaitdmmu_nwaysstorecyclespic_linesend_setstores_tlbmisslonglong_valcharinsn_ea_modepeek_into_dtlbfilename__orsim_dbch_dmmu_IO_markerinsn_IO_read_ptrdmmu_ustatesdmmu_hitdelaysupercyclesvapifmproforaddr_tpagesize_IO_write_baselong long intdtlb_statusparamt_intdmmu_entrysize_IO_save_baseparam_t../../mmu/dmmu.centrysizeinsn_indexset_bitsdmmu_end_secend_cycles__ORSIM_DEBUG_CLASSparamt_word__quad_tpc_delaydmmu_stateinstructionsdmmu_stats__pad1__pad2__pad3__pad4__pad5paramt_addruorreg_t_vtable_offsetsec_startfprofhushreset_instructions__ORSIM_DBCL_TRACEstalledset_maskstores_pagefaultsustates_IO_read_endenabledshort intloads_tlbhitlong intconfig_paramvapi_filevirtaddrhitdelaystores_tlbhitdmmu_translateGNU C 4.3.0 20080428 (Red Hat 4.3.0-8)param_valdata_cinsetsnamedmmuway_bits/home/jeremy/partners/OpenCores/cvstrees/or1k/or1ksim/build/mmuinit_locklong unsigned int_old_offsetnways_IO_FILEext_intipromptdmmu_missdelaysprsunsigned char_sbufdmmu_start_sec_IO_write_ptrconfig_sectionreg_dmmu_secparamt_strreset_cyclescpu_stateserver_portpage_mask__off_tloads_pagefaultssigned charshort unsigned intdoublemissdelaycycles_chainFILE_flags2__ORSIM_DBCL_WARN_cur_column__off64_t_unused2_IO_buf_baseGCC: (GNU) 4.3.0 20080428 (Red Hat 4.3.0-8)$Ë$.symtab.strtab.shstrtab.rel.text.data.bss.debug_abbrev.rel.debug_info.rel.debug_line.rodata.str1.1.rodata.str1.4.rodata.rel.debug_frame.debug_loc.rel.debug_pubnames.rel.debug_aranges.debug_str.comment.text.__i686.get_pc_thunk.cx.text.__i686.get_pc_thunk.bx.note.GNU-stack.group%49%<=P	dB%+0B)>    F        RN  P
^2!Xm2 "|$ $ P%c,X Q,  Q0,4-4444,:09     ?v
^2!Xm2 "|$ $ P%c,X Q,  Q0,4-4444,:09     ?v
DP$`2 ?pNY0pdlrl`

",4=
@
p



GOL
 S&t
,
2
8Oeop^|`&1CKYP  5hdmmu.cdmmu_enableddmmu_missdelaydmmu_hitdelaydmmu_end_secdmmu_start_secdmmu_nsetsdmmu_nwaysdmmu_pagesizedmmu_entrysizedmmu_ustatesdtlb_status__FUNCTION__.3788__FUNCTION__.3713.LC0.LC1.LC2.LC3.LC4.LC5.LC6.LC7.LC8.LC9.LC10.LC11.LC12.LC13.LC14.LC16.LC17.LC15.LC18.LC19.LC20.LC21__i686.get_pc_thunk.cx_GLOBAL_OFFSET_TABLE_cpu_statereg_dmmu_sec__i686.get_pc_thunk.bxreg_config_secreg_config_paramstderrfwriteis_power2log2_intruntimefprintfreg_sim_statdump_sprfputcmallocdmmu_stateexitpeek_into_dtlbdmmu_stats__orsim_dbch_dmmudata_ciorsim_dbg_logdmmu_translateexcept_handle9
DP$`2 ?pNY0pdlrl`

",4=
@
p



GOL
 S&t
,
2
8Oeop^|`&1CKYP  5hdmmu.cdmmu_enableddmmu_missdelaydmmu_hitdelaydmmu_end_secdmmu_start_secdmmu_nsetsdmmu_nwaysdmmu_pagesizedmmu_entrysizedmmu_ustatesdtlb_status__FUNCTION__.3788__FUNCTION__.3713.LC0.LC1.LC2.LC3.LC4.LC5.LC6.LC7.LC8.LC9.LC10.LC11.LC12.LC13.LC14.LC16.LC17.LC15.LC18.LC19.LC20.LC21__i686.get_pc_thunk.cx_GLOBAL_OFFSET_TABLE_cpu_statereg_dmmu_sec__i686.get_pc_thunk.bxreg_config_secreg_config_paramstderrfwriteis_power2log2_intruntimefprintfreg_sim_statdump_sprfputcmallocdmmu_stateexitpeek_into_dtlbdmmu_stats__orsim_dbch_dmmudata_ciorsim_dbg_logdmmu_translateexcept_handle9
:;2;v=|
:;2;v=|
:    >  !?  "?  #?  $3?9 C %W?] g &{?        '?  (?=
:    >  !?  "?  #?  $3?9 C %W?] g &{?        '?  (?=
:@ )&A;=A
:@ )&A;=A
:U;r@ *A=
:U;r@ *A=
:B@ +A= 
:B@ +A= 
:.BJ@f ,nA=
:.BJ@f ,nA=
:B@ -AC;,=2
:B@ -AC;,=2
:=C .DE F=
:=C .DE F=
:;D: /BAH 0l;GDEGDED#H1DN 1VAz=
:;D: /BAH 0l;GDEGDED#H1DN 1VAz=
:I;CJ%@A 2IAUKg=m
:I;CJ%@A 2IAUKg=m
:vJ;;;M%NVOOD 3              P ;W =]
:vJ;;;M%NVOOD 3              P ;W =]
:f    Jq ; ;
:f    Jq ; ;
M
M
N"
N"
Dp
Dp
O
O
;
;
N
N
N
N
      4
      4
      
      
P%OhRzD      3 NPMND1RCDV 5g P!&3=V]dkry"1@O^m|!0?N]l{'5CQ_m{+9GUlz(3>JV\bhnt{&4BP^lz*9Veu,:HWhs~       B O W [ _             
P%OhRzD      3 NPMND1RCDV 5g P!&3=V]dkry"1@O^m|!0?N]l{'5CQ_m{+9GUlz(3>JV\bhnt{&4BP^lz*9Veu,:HWhs~       B O W [ _             






'
'
;
;
G
G
K
K
P
P
^
^
f
f
j
j
n
n
























 ,05EMQUin{!,04=GLV[ejty


$
.
9
=
A
J
T
Y
c
p
u














!*5AENYepNJB04HL`d8<X\x|immu.o/         1223831532  500   500   100664  19476     `
 ,05EMQUin{!,04=GLV[ejty


$
.
9
=
A
J
T
Y
c
p
u














!*5AENYepNJB04HL`d8<X\x|immu.o/         1223831532  500   500   100664  19476     `
ELF14(UWVSE苂@EMqAI$uuMM~v!MuM#E#EHfmtj!9EuttPEt&t6@t;uE#FU       EE[^_]Ðt&xˍEE[^_]ÍUUuE]Í&E]ÍUUEP0]fUUEP4]fUVS0D$D$$D$ƍD$D$4$D$D$4$D$@D$D$4$D$ D$D$4$D$D$D$4$D$D$D$4$D$`D$D$4$D$pD$4$D$D$[^]fUSUBwEP,[]Í&D$>D$D$$[]USEHw#UB      []ËD$-D$D$$[]U]uu4$tEp(]u]fD$4D$D$$]u]Ít&U]uu4$tEp]u]fD$3D$D$$]u]Ít&U]uu4$t~:D$4D$D$$]u]Í4$Ur   Љ]u]ÍUVS uF$NFFFЉFF؉FAF$%F tVV(FL$T$щD$Љ
ELF14(UWVSE苂@EMqAI$uuMM~v!MuM#E#EHfmtj!9EuttPEt&t6@t;uE#FU       EE[^_]Ðt&xˍEE[^_]ÍUUuE]Í&E]ÍUUEP0]fUUEP4]fUVS0D$D$$D$ƍD$D$4$D$D$4$D$@D$D$4$D$ D$D$4$D$D$D$4$D$D$D$4$D$`D$D$4$D$pD$4$D$D$[^]fUSUBwEP,[]Í&D$>D$D$$[]USEHw#UB      []ËD$-D$D$$[]U]uu4$tEp(]u]fD$4D$D$$]u]Ít&U]uu4$tEp]u]fD$3D$D$$]u]Ít&U]uu4$t~:D$4D$D$$]u]Í4$Ur   Љ]u]ÍUVS uF$NFFFЉFF؉FAF$%F tVV(FL$T$щD$Љ
D$D$@$t$$ [^]ÍUWVS,Ep"BD$D$D$$EuEUREEƍD$Ɖ$D$UT$B$D$f$D$ED$B$EEU9PEE9EKB$
D$D$@$t$$ [^]ÍUWVS,Ep"BD$D$D$$EuEUREEƍD$Ɖ$D$UT$B$D$f$D$ED$B$EEU9PEE9EKB$
D$,[^_]Ë@D$$D$D$$,[^_]Í&'U(]}u$8@@@ @(@,@4@0$       ‹G ‹8]u}]ËD$D$D$$$&UWVS,E苃@U}֋BvJR$!}}}#E!ЋHUE!9EutAMU~/M1f%t
D$,[^_]Ë@D$$D$D$$,[^_]Í&'U(]}u$8@@@ @(@,@4@0$       ‹G ‹8]u}]ËD$D$D$$$&UWVS,E苃@U}֋BvJR$!}}}#E!ЋHUE!9EutAMU~/M1f%t
@?  ЉE9pڋUMuB       F4B<@tDME#A$t$     D$T$D$}ME#A      ‰UE,[^_]Í&UE,[^_]Í&u^}$|$DuD$D$L$t$$E@EE$D$Mfu苓}@F0B<|$$
@?  ЉE9pڋUMuB       F4B<@tDME#A$t$     D$T$D$}ME#A      ‰UE,[^_]Í&UE,[^_]Í&u^}$|$DuD$D$L$t$$E@EE$D$Mfu苓}@F0B<|$$
E6%:;I$>$>$>I&I	:;
E6%:;I$>$>$>I&I	:;

:;I8

:;I8
:;
:;I8
:;
:;I8

I!I/:;
:;I8

I!I/:;
:;I8
:;
:;I:;(
'I'I<:;!I/.:;'I :;I4:;I4:;I.?:;'I@ :;I!4:;I"4:;I
:;
:;I:;(
'I'I<:;!I/.:;'I :;I4:;I4:;I.?:;'I@ :;I!4:;I"4:;I
#1XY$1%&41'41
#1XY$1%&41'41
(.:;'@):;I*:;I
(.:;'@):;I*:;I
+4:;I,.?:;'@-4:;I.4:;I
+4:;I,.?:;'@-4:;I.4:;I
/.:;'@0:;I14:;I2.:;I@31XY441564I4
/.:;'@0:;I14:;I2.:;I@31XY441564I4
7&I84:;I94:;I?<:4:;I?
7&I84:;I94:;I?<:4:;I?
;!a\u0|intm\acE8E7ia01 /-
;!a\u0|intm\acE8E7ia01 /-
>#
>#
#
#
#
#
4#
4#
S#
S#
w#
w#
n#
n#
|#
|#
C#
C#
#$
#$
n#(
n#(
, #,
, #,
"#0
"#0
)$#4
)$#4
&>#8
&>#8
5*>#<
5*>#<
,#@
,#@
O0O#D
O0O#D
\1v#F
\1v#F
2#G
2#G
6#H
6#H
~?#L
~?#L
$H#T
$H#T
+I#X
+I#X
2J#\
2J#\
9K#`
9K#`
@L%#d
@L%#d
N>#h
N>#h
sP#l   p#q# >#
aL
aL'40A.S/T#:#e#9#>##@>#>#"E# E#(
#08o#8\>#<>#@>#DE#H>#PcE#E#>#>#)>#Ȗ    >#1#>#л>ѻ#a
#
>L  Bsim#cpu#T5c#pcucԖ#|Iڮ>Ez{G  #k##dat###$z        8   "       #>#)   $>#   %>#J   &#       '>##   (#   )#\   *#v   +>#    ,#$   ->#(   .>#,   />#0D   0>#4       
sP#l   p#q# >#
aL
aL'40A.S/T#:#e#9#>##@>#>#"E# E#(
#08o#8\>#<>#@>#DE#H>#PcE#E#>#>#)>#Ȗ    >#1#>#л>ѻ#a
#
>L  Bsim#cpu#T5c#pcucԖ#|Iڮ>Ez{G  #k##dat###$z        8   "       #>#)   $>#   %>#J   &#       '>##   (#   )#\   *#v   +>#    ,#$   ->#(   .>#,   />#0D   0>#4       
G
G
H>#
H>#
I#9
I#9
J#5reg6#^7#8#9>#pc:#;#<#=#>#
L
L=P      

B,
C>#[
D>#
E>#5;4S44set6>i7>vpn8g9
J#5reg6#^7#8#9>#pc:#;#<#=#>#
L
L=P      

B,
C>#[
D>#
E>#5;4S44set6>i7>vpn8g9
>         ;,!gdS"•uh#,C$S$H$=%C&^'iu\'rul&}(%T  )val$*dat$+&(M`n    *val*dat+(p~
>         ;,!gdS"•uh#,C$S$H$=%C&^'iu\'rul&}(%T  )val$*dat$+&(M`n    *val*dat+(p~
*val*dat+,6
*val*dat+,6
-secF(@Y{
-secF(@Y{
)val*dat+(`@
)val*dat+(`@
)val^*dat_+a%]z+e>()val*dat+(~ `)val|E*dat}+(A*Y)val?*dat@+B% .F>P(0)dat+/rO0datset>1way><!>P2iy+.>P+>Pp
 ;O1iQ>       !gR!S!ST"Uuh3,        ^O
$S$H$=%   &^4i4r&}5
)val^*dat_+a%]z+e>()val*dat+(~ `)val|E*dat}+(A*Y)val?*dat@+B% .F>P(0)dat+/rO0datset>1way><!>P2iy+.>P+>Pp
 ;O1iQ>       !gR!S!ST"Uuh3,        ^O
$S$H$=%   &^4i4r&}5
s
2

5x
s
2

5x

2d
d
6O
V7
a
L
8-
9J9%9+9B:b/9

2d
d
6O
V7
a
L
8-
9J9%9+9B:b/9
>9R9H
V
aW;9-L5
../../mmu/usr/lib/gcc/i386-redhat-linux/4.3.0/include/usr/include/bits/usr/include../../cpu/or1k../..../../cpu/common../../supportimmu.cstddef.htypes.hstdio.hlibio.hstdint.harch.hsim-config.himmu.habstract.hexecute.hdebug.hstats.h@hdZ~ȑ;>;=my<5y.CkOux>PYxY?N?J0"0$$$$$$$Mm*fMild*'ff?l*\f?l*f?<*u?c?B\9=YghD"}.@h4D'-ak]'
,
k+XXqfguuuuuu|wZdh1_*|.Ae>;->:==_ge=90?>7[aZ O&< S `9f`<Jhimmuenablednsetsnwayspagesizeentrysizeustatesmissdelayhitdelay
>9R9H
V
aW;9-L5
../../mmu/usr/lib/gcc/i386-redhat-linux/4.3.0/include/usr/include/bits/usr/include../../cpu/or1k../..../../cpu/common../../supportimmu.cstddef.htypes.hstdio.hlibio.hstdint.harch.hsim-config.himmu.habstract.hexecute.hdebug.hstats.h@hdZ~ȑ;>;=my<5y.CkOux>PYxY?N?J0"0$$$$$$$Mm*fMild*'ff?l*\f?l*f?<*u?c?B\9=YghD"}.@h4D'-ak]'
,
k+XXqfguuuuuu|wZdh1_*|.Ae>;->:==_ge=90?>7[aZ O&< S `9f`<Jhimmuenablednsetsnwayspagesizeentrysizeustatesmissdelayhitdelay
IMMU: %s
IMMU: %s
OOM
OOM
ITLB hit (virtaddr=%08x).
ITLB hit (virtaddr=%08x).
Warning number of IMMU usage states must be 2, 3 or 4:ignored
Warning number of IMMU usage states must be 2, 3 or 4:ignored
Warning IMMU nways not in range 1-4: ignored
Warning IMMU nways not in range 1-4: ignored
Warning IMMU entry size must be power of 2: ignored
Warning IMMU entry size must be power of 2: ignored
Warning IMMU page size must be power of 2: ignored
Warning IMMU page size must be power of 2: ignored
Warning IMMU nsets not a power of 2 <= 128: ignored
Warning IMMU nsets not a power of 2 <= 128: ignored
Insn MMU %dKB: %d ways, %d sets, entry size %d bytes
Insn MMU %dKB: %d ways, %d sets, entry size %d bytes
IMMU not implemented. Set UPR[IMP].
IMMU not implemented. Set UPR[IMP].
Returning physical address %08x
Returning physical address %08x
immu_translate|
immu_translate|
AB
CDAB
`AB
pAB
^AB
B`AB
D@pAB
DlAB
FN lAB
FNAB
FN0AB
EAB
CAB
FQpAB
Ctt
AB
CDAB
`AB
pAB
^AB
B`AB
D@pAB
DlAB
FN lAB
FNAB
FN0AB
EAB
CAB
FQpAB
Ctt
uqqu
uqqu
uVVttTu%`atactcnupqtqsts~uttuVtt@u@AtACtCu@]ttu !t!#t#u Ftt*u01t13t3u0Vuttrurui?ul
uVVttTu%`atactcnupqtqsts~uttuVtt@u@AtACtCu@]ttu !t!#t#u Ftt*u01t13t3u0Vuttrurui?ul
1V7<V?oVttiupqtqstsup)
1V7<V?oVttiupqtqstsup)
u)
u)
>
>
>
>
uL          Vu`N  WN  v     u`v      W  )
uL          Vu`N  WN  v     u`v      W  )
u`I
u`I
w
w
u`w
u`w
W
W
u`
u`
WC  )
WC  )
udI
udI
w
w
ud
ud
udJ |     Qup7  R7  )
udJ |     Qup7  R7  )
up>
up>
w
w
upw
upw
R
R
up)
up)
ul>
ul>
ulTepeek_into_itlb
ulTepeek_into_itlb
reg_immu_secimmu_translateimmu_stateiqueuesec_endparams_shortbuf_IO_lock_tstderr__dbchinsn_addr_IO_buf_end__FUNCTION__mem_cyclesitlbmr_IO_write_endunsigned intnextuint32_t_flags__ORSIM_DBCL_FIXMEparamt_none_markersloadcyclesstr_val__orsim_dbch___defaultpagesize_log2immu_ustatesicompletiprompt_run_posstdout_IO_save_endfoutmdelaylong long unsigned intvpn_maskfexe_log_IO_backup_base_offsetint_valinsn_citime_point_filenoimmu_end_secimmu_enabledaddr_val__dbcldelay_insnpeek_into_itlb__orsim_dbch_immuiqueue_entryparamt_longlongsize_tpage_offset_mask_IO_read_baseruntimestdin__ORSIM_DBCL_ERRcycle_duration_nextlru_reloadhazardwaitfetch_pagefaultsstorecyclespic_linesend_setlonglong_valcharinsn_ea_modeimmu_entrysizefilename_IO_markerinsn_IO_read_ptrfetch_tlbhititlb_statussupercyclesvapifmproforaddr_tpagesize_IO_write_baseimmu_statelong long intparamt_int_IO_save_baseparam_titlbtrentrysizeinsn_indexset_bitsend_cycles__ORSIM_DEBUG_CLASSparamt_wordimmu_pagesize__quad_tpc_delayimmu_nsetsinstructions__pad1__pad2__pad3__pad4__pad5paramt_addruorreg_t_vtable_offsetsec_start../../mmu/immu.cimmu_nwaysfprofreg_immu_sechushreset_instructions__ORSIM_DBCL_TRACEstalledset_maskimmuimmu_translateustates_IO_read_endenabledshort intlong intconfig_paramvapi_filevirtaddrhitdelayimmu_missdelayGNU C 4.3.0 20080428 (Red Hat 4.3.0-8)param_valnsetsnameimmu_start_secway_bits/home/jeremy/partners/OpenCores/cvstrees/or1k/or1ksim/build/mmuinit_locklong unsigned intimmustats_entry_old_offsetnways_IO_FILEext_intipromptimmu_statsitlbmr_lrusprsunsigned char_sbuf_IO_write_ptrconfig_sectionparamt_strreset_cyclescpu_stateserver_portimmu_hitdelayimmu_find_tlbmrpage_mask__off_tsigned charshort unsigned intdoublemissdelaycycles_chainFILE_flags2__ORSIM_DBCL_WARN_cur_columnfetch_tlbmiss__off64_t_unused2_IO_buf_baseGCC: (GNU) 4.3.0 20080428 (Red Hat 4.3.0-8)$Ë$.symtab.strtab.shstrtab.rel.text.data.bss.debug_abbrev.rel.debug_info.rel.debug_line.rodata.str1.1.rodata.str1.4.rodata.rel.debug_frame.debug_loc.rel.debug_pubnames.rel.debug_aranges.debug_str.comment.text.__i686.get_pc_thunk.cx.text.__i686.get_pc_thunk.bx.note.GNU-stack.group%4<%<8P	>%X+X0XB`e>  BRN K
reg_immu_secimmu_translateimmu_stateiqueuesec_endparams_shortbuf_IO_lock_tstderr__dbchinsn_addr_IO_buf_end__FUNCTION__mem_cyclesitlbmr_IO_write_endunsigned intnextuint32_t_flags__ORSIM_DBCL_FIXMEparamt_none_markersloadcyclesstr_val__orsim_dbch___defaultpagesize_log2immu_ustatesicompletiprompt_run_posstdout_IO_save_endfoutmdelaylong long unsigned intvpn_maskfexe_log_IO_backup_base_offsetint_valinsn_citime_point_filenoimmu_end_secimmu_enabledaddr_val__dbcldelay_insnpeek_into_itlb__orsim_dbch_immuiqueue_entryparamt_longlongsize_tpage_offset_mask_IO_read_baseruntimestdin__ORSIM_DBCL_ERRcycle_duration_nextlru_reloadhazardwaitfetch_pagefaultsstorecyclespic_linesend_setlonglong_valcharinsn_ea_modeimmu_entrysizefilename_IO_markerinsn_IO_read_ptrfetch_tlbhititlb_statussupercyclesvapifmproforaddr_tpagesize_IO_write_baseimmu_statelong long intparamt_int_IO_save_baseparam_titlbtrentrysizeinsn_indexset_bitsend_cycles__ORSIM_DEBUG_CLASSparamt_wordimmu_pagesize__quad_tpc_delayimmu_nsetsinstructions__pad1__pad2__pad3__pad4__pad5paramt_addruorreg_t_vtable_offsetsec_start../../mmu/immu.cimmu_nwaysfprofreg_immu_sechushreset_instructions__ORSIM_DBCL_TRACEstalledset_maskimmuimmu_translateustates_IO_read_endenabledshort intlong intconfig_paramvapi_filevirtaddrhitdelayimmu_missdelayGNU C 4.3.0 20080428 (Red Hat 4.3.0-8)param_valnsetsnameimmu_start_secway_bits/home/jeremy/partners/OpenCores/cvstrees/or1k/or1ksim/build/mmuinit_locklong unsigned intimmustats_entry_old_offsetnways_IO_FILEext_intipromptimmu_statsitlbmr_lrusprsunsigned char_sbuf_IO_write_ptrconfig_sectionparamt_strreset_cyclescpu_stateserver_portimmu_hitdelayimmu_find_tlbmrpage_mask__off_tsigned charshort unsigned intdoublemissdelaycycles_chainFILE_flags2__ORSIM_DBCL_WARN_cur_columnfetch_tlbmiss__off64_t_unused2_IO_buf_baseGCC: (GNU) 4.3.0 20080428 (Red Hat 4.3.0-8)$Ë$.symtab.strtab.shstrtab.rel.text.data.bss.debug_abbrev.rel.debug_info.rel.debug_line.rodata.str1.1.rodata.str1.4.rodata.rel.debug_frame.debug_loc.rel.debug_pubnames.rel.debug_aranges.debug_str.comment.text.__i686.get_pc_thunk.cx.text.__i686.get_pc_thunk.bx.note.GNU-stack.group%4<%<8P	>%X+X0XB`e>  BRN K
^2sm2|!! KP#9(X K(  L0)0-0000,67     ;^
^2sm2|!! KP#9(X K(  L0)0-0000,67     ;^
D`$p20?NY@pd lrl`

",4>
@
p



GOL
St
X 
D`$p20?NY@pd lrl`

",4>
@
p



GOL
St
X 
/F\gq^ p(:BPimmu.cimmu_enabledimmu_missdelayimmu_hitdelayimmu_end_secimmu_start_secimmu_nsetsimmu_nwaysimmu_pagesizeimmu_entrysizeimmu_ustatesitlb_status__FUNCTION__.3702.LC0.LC1.LC2.LC3.LC4.LC5.LC6.LC7.LC8.LC9.LC10.LC11.LC12.LC13.LC14.LC16.LC17.LC15.LC18.LC20.LC19peek_into_itlb__i686.get_pc_thunk.bx_GLOBAL_OFFSET_TABLE_immu_statecpu_state__i686.get_pc_thunk.cxreg_immu_secreg_config_secreg_config_paramstderrfwriteis_power2log2_intruntimefprintfreg_sim_statdump_sprfputcmallocexitimmu_translateimmu_stats__orsim_dbch_immuinsn_ciorsim_dbg_logexcept_handle8
/F\gq^ p(:BPimmu.cimmu_enabledimmu_missdelayimmu_hitdelayimmu_end_secimmu_start_secimmu_nsetsimmu_nwaysimmu_pagesizeimmu_entrysizeimmu_ustatesitlb_status__FUNCTION__.3702.LC0.LC1.LC2.LC3.LC4.LC5.LC6.LC7.LC8.LC9.LC10.LC11.LC12.LC13.LC14.LC16.LC17.LC15.LC18.LC20.LC19peek_into_itlb__i686.get_pc_thunk.bx_GLOBAL_OFFSET_TABLE_immu_statecpu_state__i686.get_pc_thunk.cxreg_immu_secreg_config_secreg_config_paramstderrfwriteis_power2log2_intruntimefprintfreg_sim_statdump_sprfputcmallocexitimmu_translateimmu_stats__orsim_dbch_immuinsn_ciorsim_dbg_logexcept_handle8
9:;<
9:;<
9';B;8
9';B;8
9   >   ?  !?  "?% / #C?I S $g?m w %?  &?        '?8
9   >   ?  !?  "?% / #C?I S $g?m w %?  &?        '?8
9@. (6AK8Q
9@. (6AK8Q
9e;@ )A8
9e;@ )A8
9B@ *A*80
9B@ *A*80
9>BZ@v +~A8
9>BZ@v +~A8
9B@ ,AC;<8B
9B@ ,AC;<8B
9MC -DE F8
9MC -DE F8
9;'DJ .RAX /|;GDEGDE D3HAD^ 0fA8
9;'DJ .RAX /|;GDEGDE D3HAD^ 0fA8
9I;C:5@Q 1YAeJw8}
9I;C:5@Q 1YAeJw8}
9:;;!    L' M N ; D M M       2          
9:;;!    L' M N ; D M M       2          
O5
O5
Nl
Nl
P}
P}
      3
      3
      
      
O
O
P
P
L
L
D
D
P!&3:HRdkry&5DSbq%4CRap'5CQ_m{+9GUlz(3>JV\bhnt{&4BP^lz1@Po->IT~   ? G K O c w           
P!&3:HRdkry&5DSbq%4CRap'5CQ_m{+9GUlz(3>JV\bhnt{&4BP^lz1@Po->IT~   ? G K O c w           






1
1
7
7
?
?
C
C
G
G
[
[
o
o
|
|


























 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.