OpenCores
URL https://opencores.org/ocsvn/openrisc_2011-10-31/openrisc_2011-10-31/trunk

Subversion Repositories openrisc_2011-10-31

[/] [openrisc/] [tags/] [or1ksim/] [or1ksim-0.3.0/] [build/] [support/] [.libs/] [libsupport.a] - Diff between revs 19 and 21

Go to most recent revision | Only display areas with differences | Details | Blame | View Log

Rev 19 Rev 21
!
!
/               1223831530  0     0     0       930       `
/               1223831530  0     0     0       930       `
.;;;e"e"simprintf__i686.get_pc_thunk.bxfmtstrdumphex__i686.get_pc_thunk.bxdumpverilogmprofile__i686.get_pc_thunk.bxdo_scheduler__i686.get_pc_thunk.bxschedulersched_find_removesched_addsched_next_insnsched_guardsched_resetsched_initorsim_dbcl_setdebug__i686.get_pc_thunk.bxparse_dbchsorsim_dbcl_set_nameorsim_dbg_log__orsim_dbch_cycles__orsim_dbch_sched__orsim_dbch_except__orsim_dbch_sched_jobs__orsim_dbch_spr__orsim_dbch_immu__orsim_dbch_dmmu__orsim_dbch_pic__orsim_dbch_tick__orsim_dbch_generic__orsim_dbch_uart__orsim_dbch_eth__orsim_dbch_config__orsim_dbch_ata__orsim_dbch_gpio__orsim_dbch_mc__orsim_dbch_dma__orsim_dbch_vapi__orsim_dbch_simprintf__orsim_dbch_jtag__orsim_dbch_cofflog2_intis_power2simprintf.o/    1223831528  500   500   100664  14256     `
.;;;e"e"simprintf__i686.get_pc_thunk.bxfmtstrdumphex__i686.get_pc_thunk.bxdumpverilogmprofile__i686.get_pc_thunk.bxdo_scheduler__i686.get_pc_thunk.bxschedulersched_find_removesched_addsched_next_insnsched_guardsched_resetsched_initorsim_dbcl_setdebug__i686.get_pc_thunk.bxparse_dbchsorsim_dbcl_set_nameorsim_dbg_log__orsim_dbch_cycles__orsim_dbch_sched__orsim_dbch_except__orsim_dbch_sched_jobs__orsim_dbch_spr__orsim_dbch_immu__orsim_dbch_dmmu__orsim_dbch_pic__orsim_dbch_tick__orsim_dbch_generic__orsim_dbch_uart__orsim_dbch_eth__orsim_dbch_config__orsim_dbch_ata__orsim_dbch_gpio__orsim_dbch_mc__orsim_dbch_dma__orsim_dbch_vapi__orsim_dbch_simprintf__orsim_dbch_jtag__orsim_dbch_cofflog2_intis_power2simprintf.o/    1223831528  500   500   100664  14256     `
ELFh$4(UWV1SL,D$D$<$t"ED$D$<<$u2B(tB,EHEU荓UЋỦUv}D$%<$Et1UD$%$4EU$EE8%?UET$$}
ELFh$4(UWV1SL,D$D$<$t"ED$D$<<$u2B(tB,EHEU荓UЋỦUv}D$%<$Et1UD$%$4EU$EE8%?UET$$}
U؅2U؋%E؋EU:EЋ$D$D$T$fD$D$$T$0t&D$D$$T$@D$D$$D$-RfB<	E1sf}E}D$D$$uՍF)lj}1$E'D$D$4$U܈:ED$D$44$uD$D$4$U܈:8E܋UD$$uE܉$U؅t*D$D$$D$L[^_]Í--UE$T$D$D$D$D$T$&D$D$$D$UE܉T$UȉD$B$&T$D$|$t$T$$D$t$$T$^U$fMuf*ET$$D$D$t&UET$ỦD$B$E苓D$B$뀍D$D$$D$=T$$D$D$D$|UD$D$$T$D$FTNX9J4E}`B$>$>I&I	:;
U؅2U؋%E؋EU:EЋ$D$D$T$fD$D$$T$0t&D$D$$T$@D$D$$D$-RfB<	E1sf}E}D$D$$uՍF)lj}1$E'D$D$4$U܈:ED$D$44$uD$D$4$U܈:8E܋UD$$uE܉$U؅t*D$D$$D$L[^_]Í--UE$T$D$D$D$D$T$&D$D$$D$UE܉T$UȉD$B$&T$D$|$t$T$$D$t$$T$^U$fMuf*ET$$D$D$t&UET$ỦD$B$E苓D$B$뀍D$D$$D$=T$$D$D$D$|UD$D$$T$D$FTNX9J4E}`B$>$>I&I	:;

:;I8

:;I8
:;
:;I8
:;
:;I8

I!I/:;'II':;
:;I8

I!I/:;'II':;
:;I8
:;(
.:;'I :;I4:;I4:;I.?:;'@:;I
:;(
.:;'I :;I4:;I4:;I.?:;'@:;I
:;I4:;I4:;I 1UXY!1"U#41$41%U&'(4I4
:;I4:;I4:;I 1UXY!1"U#41$41%U&'(4I4
)&I*4:;I+4:;I?<,!-!I/.4:;I?
)&I*4:;I+4:;I?<,!-!I/.4:;I?


0ointNSO`\8vS)40a1     {-
0ointNSO`\8vS)40a1     {-
|A#
|A#
#
#
#
#
-#
-#
E#
E#
#
#
a#
a#
#
#
4#
4#
n#$
n#$
#(
#(
9 #,
9 #,
"#0
"#0
Z$#4
Z$#4
&A#8
&A#8
f*A#<
f*A#<
a,#@
a,#@
0:#D
0:#D
 1h#F
 1h#F
2#G
2#G
I6#H
I6#H
?#L
?#L
H#T
H#T
I#X
I#X
J#\
J#\
K#`
K#`
L%#d
L%#d
NA#h
NA#h
P#l  i##oA#
S7
S7'<.98:#;R#X>s#aRaa8saaaX@DFEA#FA#HA#I#KA#L#NA#OA#<PA# Qv#$nRv#,-SA#4!U#8V#<Z[A#\A#]A#^A#_#cd#(eA#fA#gA#mhA#l%mA#nA#oA#pA#$tuA#vA#'wA##xA#yA#zA#{A#|A#|}A# picA# A#A#IA#A#|A#A#IA#A#A#TA#A#a#     7&extA#simWy#`F#Lcuci#`cpuq#tdc~%#pic#pm#bpb#I#TC#5#s#P#A##A#A#Sv# v#(##0a#8MA#<A#@A#Dv#HIA#PByv#Nv#tA#~A#A#ȬA##A#U#Z##
A7	;& sim&#cpu*#Ty#pcucԬ#|/       K      azItN       FMMaOiPA,cKFbba,argdwefg6hA K    j2
P#l  i##oA#
S7
S7'<.98:#;R#X>s#aRaa8saaaX@DFEA#FA#HA#I#KA#L#NA#OA#<PA# Qv#$nRv#,-SA#4!U#8V#<Z[A#\A#]A#^A#_#cd#(eA#fA#gA#mhA#l%mA#nA#oA#pA#$tuA#vA#'wA##xA#yA#zA#{A#|A#|}A# picA# A#A#IA#A#|A#A#IA#A#A#TA#A#a#     7&extA#simWy#`F#Lcuci#`cpuq#tdc~%#pic#pm#bpb#I#TC#5#s#P#A##A#A#Sv# v#(##0a#8MA#<A#@A#Dv#HIA#PByv#Nv#tA#~A#A#ȬA##A#U#Z##
A7	;& sim&#cpu*#Ty#pcucԬ#|/       K      azItN       FMMaOiPA,cKFbba,argdwefg6hA K    j2
!g    !\    " #r       $}    /%PR
!g    !\    " #r       $}    /%PR
-|K|P%hr
-|K|P%hr
-KP%BtmpcA&h
-KP%BtmpcA&h
-KP& h
-KP& h
-KP"lenAstr& -KP'0-KP%b-KP&@-KP&p-KP&-KP&]-KP&-KP&7m:-lKlP(@iH)/&	
Si7	Y*/}+C+2++;
S,+/
S-7.|J
../../support/usr/lib/gcc/i386-redhat-linux/4.3.0/include/usr/include/bits/usr/include../../cpu/or1k../..simprintf.cstddef.htypes.hstdint.hstdio.hlibio.harch.hsim-config.hdebug.htt$
-KP"lenAstr& -KP'0-KP%b-KP&@-KP&p-KP&-KP&]-KP&-KP&7m:-lKlP(@iH)/&	
Si7	Y*/}+C+2++;
S,+/
S-7.|J
../../support/usr/lib/gcc/i386-redhat-linux/4.3.0/include/usr/include/bits/usr/include../../cpu/or1k../..simprintf.cstddef.htypes.hstdint.hstdio.hlibio.harch.hsim-config.hdebug.htt$
r<r<pffp<Jmu<yL=#!<=e=t6@0W0;/ZQ+M<<<!<.P;;znH-0(2>vw<*/p<6Ix1simprintf: stackaddr: 0x%08x
r<r<pffp<Jmu<yL=#!<=e=t6@0W0;/ZQ+M<<<!<.P;;znH-0(2>vw<*/p<6Ix1simprintf: stackaddr: 0x%08x
SIMPRINTF: simprintf: %s
SIMPRINTF: simprintf: %s
simprintf(): 1 2 34a: len=%d str=%s
simprintf(): 1 2 34a: len=%d str=%s
4b: 5 6 7 8
4b: 5 6 7 8
 4: fmtstrpart=%p fmtstrpart=%s arg=0x%08x
 4: fmtstrpart=%p fmtstrpart=%s arg=0x%08x
simprintf|AB
BFttuWWWWWu`Wu`WupVu`V*u`uduh7ululVVVsVasQWQ QVaV;VVWVW*WV'      simprintffmtstrfuylr0GuylrbfWZ70,0@pvapi_fndebug_shortbuf_IO_lock_tblocksize__dbch_IO_buf_end__FUNCTION__mem_cycleswrite_up_IO_write_endunsigned int_flagsnways__ORSIM_DBCL_FIXMEbticfmtstrpartclkcycle_ps_markersloadcyclesdependstats__orsim_dbch___defaultmprof_fnclass_ptrcalling_conventionvapi_idiprompt_runuint32_tstdout_IO_save_endstackaddrfoutmdelaylong long unsigned intfexe_logsbp_bf_fwdexe_log_IO_backup_base_offsetinittime_point_fileno__dbcl/home/jeremy/partners/OpenCores/cvstrees/or1k/or1ksim/build/supportsize_tstore_hitdelayedge_trigger_IO_read_baseruntimestdin__ORSIM_DBCL_ERRcycle_duration_next_possimgetstrhazardwaitstringstorecycles__orsim_dbch_simprintfsuperscalarchar_modefilenamefmtaddr_IO_marker_IO_read_ptrload_missdelay../../support/simprintf.csupercyclesmemory_orderfmproforaddr_t_IO_write_basegdb_enabledlong long int_IO_save_basefmtstrhazardsmprofilesbuf_lenread_upend_cyclestimings_fnhistory__quad_tprof_fnexe_log_startinstructions__pad1__pad2__pad3__pad4__pad5enable_bursts_vtable_offset__ORSIM_DEBUG_CLASSfprofhushreset_instructions__ORSIM_DBCL_TRACEstalledload_hitdelayconfigustates_IO_read_endenabledshort intsbp_bnf_fwdvapilong intvapi_filehide_device_idhitdelaylog_enabledGNU C 4.3.0 20080428 (Red Hat 4.3.0-8)nsetsexe_log_markerexe_log_type_locklong unsigned int_old_offsetno_multicycle_IO_FILEext_intipromptunsigned char_sbuf_IO_write_ptrreset_cyclesfmtstrendserver_portargaddrregparam__off_tverbosesigned charprofileshort unsigned intexe_log_fnsimprintftee_exe_logdoublemissdelaycycles_chainFILE_flags2exe_log_end__ORSIM_DBCL_WARN_cur_column__off64_t_unused2_IO_buf_basestore_missdelayGCC: (GNU) 4.3.0 20080428 (Red Hat 4.3.0-8)$.symtab.strtab.shstrtab.rel.text.data.bss.debug_abbrev.rel.debug_info.rel.debug_line.rodata.str1.1.rodata.str1.4.rodata.rel.debug_frame.debug_loc.rel.debug_pubnames.rel.debug_aranges.debug_ranges.debug_str.comment.text.__i686.get_pc_thunk.bx.note.GNU-stack.group4$@  8-%D+D0D+Bo    >      0pRaN 7 ^2;rm2,|
simprintf|AB
BFttuWWWWWu`Wu`WupVu`V*u`uduh7ululVVVsVasQWQ QVaV;VVWVW*WV'      simprintffmtstrfuylr0GuylrbfWZ70,0@pvapi_fndebug_shortbuf_IO_lock_tblocksize__dbch_IO_buf_end__FUNCTION__mem_cycleswrite_up_IO_write_endunsigned int_flagsnways__ORSIM_DBCL_FIXMEbticfmtstrpartclkcycle_ps_markersloadcyclesdependstats__orsim_dbch___defaultmprof_fnclass_ptrcalling_conventionvapi_idiprompt_runuint32_tstdout_IO_save_endstackaddrfoutmdelaylong long unsigned intfexe_logsbp_bf_fwdexe_log_IO_backup_base_offsetinittime_point_fileno__dbcl/home/jeremy/partners/OpenCores/cvstrees/or1k/or1ksim/build/supportsize_tstore_hitdelayedge_trigger_IO_read_baseruntimestdin__ORSIM_DBCL_ERRcycle_duration_next_possimgetstrhazardwaitstringstorecycles__orsim_dbch_simprintfsuperscalarchar_modefilenamefmtaddr_IO_marker_IO_read_ptrload_missdelay../../support/simprintf.csupercyclesmemory_orderfmproforaddr_t_IO_write_basegdb_enabledlong long int_IO_save_basefmtstrhazardsmprofilesbuf_lenread_upend_cyclestimings_fnhistory__quad_tprof_fnexe_log_startinstructions__pad1__pad2__pad3__pad4__pad5enable_bursts_vtable_offset__ORSIM_DEBUG_CLASSfprofhushreset_instructions__ORSIM_DBCL_TRACEstalledload_hitdelayconfigustates_IO_read_endenabledshort intsbp_bnf_fwdvapilong intvapi_filehide_device_idhitdelaylog_enabledGNU C 4.3.0 20080428 (Red Hat 4.3.0-8)nsetsexe_log_markerexe_log_type_locklong unsigned int_old_offsetno_multicycle_IO_FILEext_intipromptunsigned char_sbuf_IO_write_ptrreset_cyclesfmtstrendserver_portargaddrregparam__off_tverbosesigned charprofileshort unsigned intexe_log_fnsimprintftee_exe_logdoublemissdelaycycles_chainFILE_flags2exe_log_end__ORSIM_DBCL_WARN_cur_column__off64_t_unused2_IO_buf_basestore_missdelayGCC: (GNU) 4.3.0 20080428 (Red Hat 4.3.0-8)$.symtab.strtab.shstrtab.rel.text.data.bss.debug_abbrev.rel.debug_info.rel.debug_line.rodata.str1.1.rodata.str1.4.rodata.rel.debug_frame.debug_loc.rel.debug_pubnames.rel.debug_aranges.debug_ranges.debug_str.comment.text.__i686.get_pc_thunk.bx.note.GNU-stack.group4$@  8-%D+D0D+Bo    >      0pRaN 7 ^2;rm2,|
4 7+ 7I  7i0Q#-F#J#J#(0#      ,   
4 7+ 7I  7i0Q#-F#J#J#(0#      ,   


9$H)k/h5n;@KENJaOeT*Y^cm simprintf.c__FUNCTION__.3597.LC3.LC4.LC11.LC10.LC12.LC6.LC5.LC7.LC8.LC9.LC2.LC0.LC1simprintf__i686.get_pc_thunk.bx_GLOBAL_OFFSET_TABLE_eval_direct8fmtstr__orsim_dbch_simprintfconfigruntimestrchrevalsim_regprintforsim_dbg_logmallocfreefprintffwrite$


9$H)k/h5n;@KENJaOeT*Y^cm simprintf.c__FUNCTION__.3597.LC3.LC4.LC11.LC10.LC12.LC6.LC5.LC7.LC8.LC9.LC2.LC0.LC1simprintf__i686.get_pc_thunk.bx_GLOBAL_OFFSET_TABLE_eval_direct8fmtstr__orsim_dbch_simprintfconfigruntimestrchrevalsim_regprintforsim_dbg_logmallocfreefprintffwrite$
%,&2'^&h(n')('	*+( +?,H(o-((((	.(		&.,(B(H	R	f.r	|	(.&	/,&S&o&{(-0(		(.3(A	K	X.j	t	~(.1(		.((		!.A-N(q	z.1(*1		(.'		%.+(9	F	P(d.o*	 2!&3=V]dkry"1@O^m|!0?N]l{**8O]ky	.<JXft-;R`n|/=KYgu&3AO]k
%,&2'^&h(n')('	*+( +?,H(o-((((	.(		&.,(B(H	R	f.r	|	(.&	/,&S&o&{(-0(		(.3(A	K	X.j	t	~(.1(		.((		!.A-N(q	z.1(*1		(.'		%.+(9	F	P(d.o*	 2!&3=V]dkry"1@O^m|!0?N]l{**8O]ky	.<JXft-;R`n|/=KYgu&3AO]k
        ' 3 9 ? E L ] h s     
   
 
  
   
 
        ' 3 9 ? E L ] h s     
   
 
  
   
 




,
,

3

3
<
<
G
G
S
S
\
\
g
g
s
s




























!%*5CLWcgp{$/;FQoy('dumpverilog.o/  1223831528  500   500   100664  10516     `
!%*5CLWcgp{$/;FQoy('dumpverilog.o/  1223831528  500   500   100664  10516     `
ELF4(UWVSE;EƍEUpfD$D$4$$xP4$D$D$D$ED$B$<$t9uvGt4$D$D$D$ED$B$9uw[^_]Ít&'UWVSuD$D$B$ED$D$D$D$ED$D$D$B$9uhd``t&?4$D$D$D$D$hD$B$9uUuD$D$4$lj$pm4$t94$t+D$D$D$B$D$D$4$T$lD$ D$dD$B$<$w<$D$<$`l|$ET$D$B$pƅw$t9ut&D$B$ļ[^_]fB$
ELF4(UWVSE;EƍEUpfD$D$4$$xP4$D$D$D$ED$B$<$t9uvGt4$D$D$D$ED$B$9uw[^_]Ít&'UWVSuD$D$B$ED$D$D$D$ED$D$D$B$9uhd``t&?4$D$D$D$D$hD$B$9uUuD$D$4$lj$pm4$t94$t+D$D$D$B$D$D$4$T$lD$ D$dD$B$<$w<$D$<$`l|$ET$D$B$pƅw$t9ut&D$B$ļ[^_]fB$
D$f    @    @    @    @    @    @ ,%:;I$>$>$>I:;       
:;I8
D$f    @    @    @    @    @    @ ,%:;I$>$>$>I:;       
:;I8
:;
:;I8
:;
:;I8
I
!I/:;
:;I8
I
!I/:;
:;I8
.?:;'@:;I:;I4:;I4:;I4:;I4:;I
.?:;'@:;I:;I4:;I4:;I4:;I4:;I
4:;I
4:;I
4:;I?<tiI05?int8awom1-t	oZ#	M#	#	L#	5#	a#	#	#	Z# 	#$	#(	2 #,	"#0	$#4	&Z#8	*Z#<	,z#@	e0>#D   Z1L#F  +2#G  6#H  P?#L  H#T  I#X  J#\  K#`  L%#d  FNZ#h  DP#l
4:;I?<tiI05?int8awom1-t	oZ#	M#	#	L#	5#	a#	#	#	Z# 	#$	#(	2 #,	"#0	$#4	&Z#8	*Z#<	,z#@	e0>#D   Z1L#F  +2#G  6#H  P?#L  H#T  I#X  J#\  K#`  L%#d  FNZ#h  DP#l
 ##|Z#{
t
'40X.T####rZ##Z#DZ#fa# (a#(q#0E#8Z#<Z#@Z#Da#HZ#PMa#1a#Z#Z#%Z#Ȁ-Z#(#vZ#Хѥ##Z
sim#cpu#TAM#pcucԀ#|     #1      $#P   %#   &1#,toWiwZ[3UZZtoZ`i\0\0tmp]1dis^3~_`Zu~C
|'
 ##|Z#{
t
'40X.T####rZ##Z#DZ#fa# (a#(q#0E#8Z#<Z#@Z#Da#HZ#PMa#1a#Z#Z#%Z#Ȁ-Z#(#vZ#Хѥ##Z
sim#cpu#TAM#pcucԀ#|     #1      $#P   %#   &1#,toWiwZ[3UZZtoZ`i\0\0tmp]1dis^3~_`Zu~C
|'
#
../../support/usr/lib/gcc/i386-redhat-linux/4.3.0/include/usr/include/bits/usr/include../../cpu/or1k../..../../cpu/common../../cpu/or1k/opcodedumpverilog.cstddef.htypes.hstdio.hlibio.hstdint.harch.hsim-config.hlabels.hor32.hkkpu-/04ws<
<s4X.fB%M l	fwf	fн`' <`-gh+N=eY>Keui8eu5%.8x
#
../../support/usr/lib/gcc/i386-redhat-linux/4.3.0/include/usr/include/bits/usr/include../../cpu/or1k../..../../cpu/common../../cpu/or1k/opcodedumpverilog.cstddef.htypes.hstdio.hlibio.hstdint.harch.hsim-config.hlabels.hor32.hkkpu-/04ws<
<s4X.fB%M l	fwf	fн`' <`-gh+N=eY>Keui8eu5%.8x
%.2x
%.2x
0.3.0rc1:
0.3.0rc1:
//      %s%s
//      %s%s
        mem['h%x] = %d'h%.8x;
        mem['h%x] = %d'h%.8x;
        dis['h%x] = {"%s"};
        dis['h%x] = {"%s"};
        mem['h%x] = 'h%.2x;// This file was generated by or1ksim version %s
        mem['h%x] = 'h%.2x;// This file was generated by or1ksim version %s
include "general.h"
include "general.h"
`timescale 1ns/100ps
`timescale 1ns/100ps
// Simple dw-wide Sync SRAM with initial content generated by or1ksim.
// Simple dw-wide Sync SRAM with initial content generated by or1ksim.
// All control, data in and addr signals are sampled at rising clock edge
// All control, data in and addr signals are sampled at rising clock edge
// Data out is not registered. Address bits specify dw-word (narrowest
// Data out is not registered. Address bits specify dw-word (narrowest
// addressed data is not byte but dw-word !).
// addressed data is not byte but dw-word !).
// There are still some bugs in generated output (dump word aligned regions)
// There are still some bugs in generated output (dump word aligned regions)
module %s(clk, data, addr, ce, we, disout);
module %s(clk, data, addr, ce, we, disout);
parameter dw = 32;
parameter dw = 32;
parameter amin = %d;
parameter amin = %d;
parameter amax = %d;
parameter amax = %d;
input clk;
input clk;
inout [dw-1:0] data;
inout [dw-1:0] data;
input [31:0] addr;
input [31:0] addr;
input ce;
input ce;
input we;
input we;
output [%d:0] disout;
output [%d:0] disout;
reg  [%d:0] disout;
reg  [%d:0] disout;
reg  [dw-1:0] mem [amax:amin];
reg  [dw-1:0] mem [amax:amin];
reg  [%d:0] dis [amax:amin];
reg  [%d:0] dis [amax:amin];
reg  [dw-1:0] dataout;
reg  [dw-1:0] dataout;
tri  [dw-1:0] data = (ce && ~we) ? dataout : 'bz;
tri  [dw-1:0] data = (ce && ~we) ? dataout : 'bz;
initial begin
initial begin
end
end
always @(posedge clk) begin
always @(posedge clk) begin
        if (ce && ~we) begin
        if (ce && ~we) begin
                dataout <= #1 mem[addr];
                dataout <= #1 mem[addr];
                disout <= #1 dis[addr];
                disout <= #1 dis[addr];
                $display("or1k_mem: reading mem[%%0d]:%%h dis: %%0s", addr, dataout, dis[addr]);
                $display("or1k_mem: reading mem[%%0d]:%%h dis: %%0s", addr, dataout, dis[addr]);
        end else
        end else
        if (ce && we) begin
        if (ce && we) begin
                mem[addr] <= #1 data;
                mem[addr] <= #1 data;
                dis[addr] <= #1 "(data)";
                dis[addr] <= #1 "(data)";
                $display("or1k_mem: writing mem[%%0d]:%%h dis: %%0s", addr, mem[addr], dis[addr]);
                $display("or1k_mem: writing mem[%%0d]:%%h dis: %%0s", addr, mem[addr], dis[addr]);
        end
        end
end
end
endmodule
endmodule
|AB
FAB
Cttu  .P.u  u"VSXP6WttuuVuVSuS_V_uVuVVikPRWkWW*x7dumphexdumpverilog_chain__quad_t_flags2double_IO_FILEvapi_file_IO_save_endshort intsize_t_offsetoraddr_t_IO_write_ptr_flagsserver_port../../support/dumpverilog.c_IO_buf_basehush_markers_IO_read_endreset_cyclesfmprofindexdumphexfilenamenameinsnfexe_loglong long intinstructions_locksupercyclesreset_instructionsiprompt_runaddrverilog_modname_cur_columntime_point_poscycle_durationdisassembleddumpverilog_sbuf_old_offsetmem_cyclesstdoutunsigned chardoneloadcycleslong long unsigned intuint32_truntimeunsigned int_IO_marker_shortbuf_IO_write_base_unused2_IO_read_ptr_IO_buf_endcyclescharinitlong int_next__pad1__pad2__pad3__pad4__pad5mdelayipromptfprofshort unsigned intlong unsigned int_IO_write_endlabel_entry__off64_t_fileno__off_t_IO_backup_basestdinenabledsigned charvapi_mode_IO_read_base_vtable_offset/home/jeremy/partners/OpenCores/cvstrees/or1k/or1ksim/build/support_IO_save_baseFILEstorecycleshazardwaitGNU C 4.3.0 20080428 (Red Hat 4.3.0-8)foutext_intstalledfromnext_IO_lock_tend_cyclesGCC: (GNU) 4.3.0 20080428 (Red Hat 4.3.0-8)$.symtab.strtab.shstrtab.rel.text.data.bss.debug_abbrev.rel.debug_info.rel.debug_line.rodata.str1.1.rodata.str1.4.rel.debug_frame.debug_loc.rel.debug_pubnames.rel.debug_aranges.debug_str.comment.text.__i686.get_pc_thunk.bx.note.GNU-stack.group4@    \#%0+000EBux> $RN ( ^2
dm2(T| ( 
|a. (  )03-T     D"   
#4(N- 287<A,GOf|       dumpverilog.c.LC0.LC1.LC2.LC3.LC4.LC9.LC7.LC8.LC5.LC6.LC10dumphex__i686.get_pc_thunk.bx_GLOBAL_OFFSET_TABLE_eval_direct32insn_decoderuntimefprintfinsn_leneval_direct8dumpverilogverify_memoryareaget_labeldisassemble_insndisassembledstrcpystrlenfputc

|AB
FAB
Cttu  .P.u  u"VSXP6WttuuVuVSuS_V_uVuVVikPRWkWW*x7dumphexdumpverilog_chain__quad_t_flags2double_IO_FILEvapi_file_IO_save_endshort intsize_t_offsetoraddr_t_IO_write_ptr_flagsserver_port../../support/dumpverilog.c_IO_buf_basehush_markers_IO_read_endreset_cyclesfmprofindexdumphexfilenamenameinsnfexe_loglong long intinstructions_locksupercyclesreset_instructionsiprompt_runaddrverilog_modname_cur_columntime_point_poscycle_durationdisassembleddumpverilog_sbuf_old_offsetmem_cyclesstdoutunsigned chardoneloadcycleslong long unsigned intuint32_truntimeunsigned int_IO_marker_shortbuf_IO_write_base_unused2_IO_read_ptr_IO_buf_endcyclescharinitlong int_next__pad1__pad2__pad3__pad4__pad5mdelayipromptfprofshort unsigned intlong unsigned int_IO_write_endlabel_entry__off64_t_fileno__off_t_IO_backup_basestdinenabledsigned charvapi_mode_IO_read_base_vtable_offset/home/jeremy/partners/OpenCores/cvstrees/or1k/or1ksim/build/support_IO_save_baseFILEstorecycleshazardwaitGNU C 4.3.0 20080428 (Red Hat 4.3.0-8)foutext_intstalledfromnext_IO_lock_tend_cyclesGCC: (GNU) 4.3.0 20080428 (Red Hat 4.3.0-8)$.symtab.strtab.shstrtab.rel.text.data.bss.debug_abbrev.rel.debug_info.rel.debug_line.rodata.str1.1.rodata.str1.4.rel.debug_frame.debug_loc.rel.debug_pubnames.rel.debug_aranges.debug_str.comment.text.__i686.get_pc_thunk.bx.note.GNU-stack.group4@    \#%0+000EBux> $RN ( ^2
dm2(T| ( 
|a. (  )03-T     D"   
#4(N- 287<A,GOf|       dumpverilog.c.LC0.LC1.LC2.LC3.LC4.LC9.LC7.LC8.LC5.LC6.LC10dumphex__i686.get_pc_thunk.bx_GLOBAL_OFFSET_TABLE_eval_direct32insn_decoderuntimefprintfinsn_leneval_direct8dumpverilogverify_memoryareaget_labeldisassemble_insndisassembledstrcpystrlenfputc

 $ * L!T"r!x#$%&#$

 $ * L!T"r!x#$%&#$

 #" , ;$D#y $   &#$7!A"W(c)o	{#	$!#$*+,-3#B$W%r#x	$#.!&3:AHOVdkp{*9HWfu)8GVeu|-;IWes#1?Vdr#9@DHQ[iv{$DQ^k0
 #" , ;$D#y $   &#$7!A"W(c)o	{#	$!#$*+,-3#B$W%r#x	$#.!&3:AHOVdkp{*9HWfu)8GVeu|-;IWes#1?Vdr#9@DHQ[iv{$DQ^k0
8
8
<profile.o/      1223831528  500   500   100664  8052      `
<profile.o/      1223831528  500   500   100664  8052      `
ELFl4(US$EEEE@D$D$D$E$u
@$[]%:;I$>$>$>I:;  
:;I8
ELFl4(US$EEEE@D$D$D$E$u
@$[]%:;I$>$>$>I:;  
:;I8
:;
:;I8
:;
:;I8
I
!I/:;'II':;
:;I8
I
!I/:;'II':;
:;I8
.?:;'@:;I4:;I
.?:;'@:;I4:;I
4:;I?<   ;](0(int\8aoxB1\-t  "Z#  #  #  #  #  #  2#  |#  :#   #$  D#(   #,  "#0  $#4  &Z#8
4:;I?<   ;](0(int\8aoxB1\-t  "Z#  #  #  #  #  #  2#  |#  :#   #$  D#(   #,  "#0  $#4  &Z#8
*Z#<	,z#@	F0>#D      31L#F  72#G  6#H  ?#L  H#T  I#X  J#\  K#`  L%#d  NZ#h  !P#l
*Z#<	,z#@	F0>#D      31L#F  72#G  6#H  ?#L  H#T  I#X  J#\  K#`  L%#d  NZ#h  !P#l
Q#"#Z#{
t
'L40n.,#-#.7#        9Vj      :#   ;p#   >#EpEEVEEEv@    Ddh      EZ#   FZ#   HZ#   I#   KZ#   L#   NZ#/   OZ#   PZ#    Qa#$   Ra#,   SZ#4   U#8U   V#<     Za      [Z#   \Z#l   ]Z#   ^Z#   _#     c_      d#   eZ#L   fZ#   gZ#e   hZ#     lC      mZ#   nZ#   oZ#H   pZ#$     ta      uZ#   vZ#   wZ#   xZ#   yZ#   zZ#   {Z#z   |Z#x   }Z# pic a      Z#   Z#     
Q#"#Z#{
t
'L40n.,#-#.7#        9Vj      :#   ;p#   >#EpEEVEEEv@    Ddh      EZ#   FZ#   HZ#   I#   KZ#   L#   NZ#/   OZ#   PZ#    Qa#$   Ra#,   SZ#4   U#8U   V#<     Za      [Z#   \Z#l   ]Z#   ^Z#   _#     c_      d#   eZ#L   fZ#   gZ#e   hZ#     lC      mZ#   nZ#   oZ#H   pZ#$     ta      uZ#   vZ#   wZ#   xZ#   yZ#   zZ#   {Z#z   |Z#x   }Z# pic a      Z#   Z#     
a        Z#     ga      Z#t   Z#!   Z#G   Z#   Z#   Z#     a      Z#)   Z#   Z#@   E# 7Dext      A##sim   W#   `d#Lcuc   i#`cpu   q#tdc    ~C#pic  #pm   #bpb  
a        Z#     ga      Z#t   Z#!   Z#G   Z#   Z#   Z#     a      Z#)   Z#   Z#@   E# 7Dext      A##sim   W#   `d#Lcuc   i#`cpu   q#tdc    ~C#pic  #pm   #bpb  
#h    g#T    ;      ;#s   ;#_   ;#   ;#w   Z#   #   Z#   Z#,   a# '   a#(1   A#0<	E#8	Z#<T	Z#@	Z#D	a#H5	Z#Pe	}	a#	a#	Z#A	Z#	Z#	a	Z#R	;#	Z#	*	#i	A#Z
	D	sim	D#cpu	H#T	̗#pcuc	#|*]	),)7Lmp+l		

../../support/usr/lib/gcc/i386-redhat-linux/4.3.0/include/usr/include/bits/usr/include../../cpu/or1k../..profile.cstddef.htypes.hstdio.hlibio.hstdint.harch.hprofile.hsim-config.h)v:=g,|]AB
Dtt]uJJ]uJJ]u	D	mprofile]__off_t_IO_read_ptr_chainserver_portsize_texe_log_shortbufhazardwaitcalling_conventionfexe_logdebugoraddr_tinit_IO_buf_baselong long unsigned intaddrnwayswrite_uphazardslong long intsigned charnsetsvapi_fn_fileno_IO_read_endstalledexe_log_fnlong intreset_cycles_flagsgdb_enabledhush_IO_buf_end_cur_columnvapi_file__quad_tdoublelog_enabledload_hitdelay_old_offset_offsetexe_log_startmprof_fnsupercycles_IO_markerstdinprofileunsigned intexe_log_typeblocksizelong unsigned intprof_fn_IO_write_ptr_sbufshort unsigned int/home/jeremy/partners/OpenCores/cvstrees/or1k/or1ksim/build/supportenable_burststype_IO_save_basefprof_lockshort int_modestdoutmemory_orderedge_triggeripromptvapimissdelayexe_log_endmem_cyclesfilenamereset_instructionsend_cycles_IO_write_endvapi_idsbuf_len_IO_lock_t_IO_FILEno_multicyclefmprofload_missdelay_possuperscalar_markershistoryhitdelaymprofentry_structunsigned charstore_hitdelay../../support/profile.chide_device_id_flags2exe_log_markersbp_bf_fwdcycles_vtable_offsetFILEbticuint32_tclkcycle_psenabledcycle_durationcharinstructionsconfigverbosemprofilefoutstore_missdelay_nextstorecycles__off64_t_IO_read_base_IO_save_endustates__pad1__pad2__pad3__pad4__pad5memaddr_unused2mdelaytime_pointext_int_IO_backup_baseloadcyclestimings_fnclass_ptrsbp_bnf_fwdGNU C 4.3.0 20080428 (Red Hat 4.3.0-8)_IO_write_basedependstatsiprompt_runruntimeread_upGCC: (GNU) 4.3.0 20080428 (Red Hat 4.3.0-8)$.symtab.strtab.shstrtab.rel.text.data.bss.debug_abbrev.rel.debug_info.rel.debug_line.rel.debug_frame.debug_loc.rel.debug_pubnames.rel.debug_aranges.debug_str.comment.text.__i686.get_pc_thunk.bx.note.GNU-stack.group4@]	(%+0&B	>    DRN D b0^ Lol~4
z \S
  d0s
Q-~Tp     W   
]+AIPprofile.cmprofile__i686.get_pc_thunk.bx_GLOBAL_OFFSET_TABLE_runtimefwriteconfig
#h    g#T    ;      ;#s   ;#_   ;#   ;#w   Z#   #   Z#   Z#,   a# '   a#(1   A#0<	E#8	Z#<T	Z#@	Z#D	a#H5	Z#Pe	}	a#	a#	Z#A	Z#	Z#	a	Z#R	;#	Z#	*	#i	A#Z
	D	sim	D#cpu	H#T	̗#pcuc	#|*]	),)7Lmp+l		

../../support/usr/lib/gcc/i386-redhat-linux/4.3.0/include/usr/include/bits/usr/include../../cpu/or1k../..profile.cstddef.htypes.hstdio.hlibio.hstdint.harch.hprofile.hsim-config.h)v:=g,|]AB
Dtt]uJJ]uJJ]u	D	mprofile]__off_t_IO_read_ptr_chainserver_portsize_texe_log_shortbufhazardwaitcalling_conventionfexe_logdebugoraddr_tinit_IO_buf_baselong long unsigned intaddrnwayswrite_uphazardslong long intsigned charnsetsvapi_fn_fileno_IO_read_endstalledexe_log_fnlong intreset_cycles_flagsgdb_enabledhush_IO_buf_end_cur_columnvapi_file__quad_tdoublelog_enabledload_hitdelay_old_offset_offsetexe_log_startmprof_fnsupercycles_IO_markerstdinprofileunsigned intexe_log_typeblocksizelong unsigned intprof_fn_IO_write_ptr_sbufshort unsigned int/home/jeremy/partners/OpenCores/cvstrees/or1k/or1ksim/build/supportenable_burststype_IO_save_basefprof_lockshort int_modestdoutmemory_orderedge_triggeripromptvapimissdelayexe_log_endmem_cyclesfilenamereset_instructionsend_cycles_IO_write_endvapi_idsbuf_len_IO_lock_t_IO_FILEno_multicyclefmprofload_missdelay_possuperscalar_markershistoryhitdelaymprofentry_structunsigned charstore_hitdelay../../support/profile.chide_device_id_flags2exe_log_markersbp_bf_fwdcycles_vtable_offsetFILEbticuint32_tclkcycle_psenabledcycle_durationcharinstructionsconfigverbosemprofilefoutstore_missdelay_nextstorecycles__off64_t_IO_read_base_IO_save_endustates__pad1__pad2__pad3__pad4__pad5memaddr_unused2mdelaytime_pointext_int_IO_backup_baseloadcyclestimings_fnclass_ptrsbp_bnf_fwdGNU C 4.3.0 20080428 (Red Hat 4.3.0-8)_IO_write_basedependstatsiprompt_runruntimeread_upGCC: (GNU) 4.3.0 20080428 (Red Hat 4.3.0-8)$.symtab.strtab.shstrtab.rel.text.data.bss.debug_abbrev.rel.debug_info.rel.debug_line.rel.debug_frame.debug_loc.rel.debug_pubnames.rel.debug_aranges.debug_str.comment.text.__i686.get_pc_thunk.bx.note.GNU-stack.group4@]	(%+0&B	>    DRN D b0^ Lol~4
z \S
  d0s
Q-~Tp     W   
]+AIPprofile.cmprofile__i686.get_pc_thunk.bx_GLOBAL_OFFSET_TABLE_runtimefwriteconfig
 BL!&3:AHOVdkp{*9HWfu)8GVeu|,:H,:HVm{'5LZhv!/=KYp~5M[iw-DQ_m{    ( F M Q U      ^     h      m     w              sched.o/        1223831529  500   500   100664  13796     `
 BL!&3:AHOVdkp{*9HWfu)8GVeu|,:H,:HVm{'5LZhv!/=KYp~5M[iw-DQ_m{    ( F M Q U      ^     h      m     w              sched.o/        1223831529  500   500   100664  13796     `
ELF`#4(UVSNt&QVAA$QN~݃[^]ÍUWVSuuVWtA1t&Bхt/9ruE9Burtt]qB&[^_]ËP$@ L$$T$D$ED$D$D$fw
UWVS<&}E1ɋpvt	;U}ɋ?G}܋}E܋}܉pEGEG.1t)UUEQtU܋)t{Eptq1UE
vvtQtUD$FD$F|$T$$D$ED$D$vu<[^_]ËFtpE1U䍓Ut&vtStUD$FD$FT$|$$D$ED$D$vuID$D$$|$&U}T$P$@ |$$T$D$D$D$D$&D$D$D$|$$vEU܉PExl}܉P$@ |$$T$UD$D$D$T$f뎍&'USPtFy@
ELF`#4(UVSNt&QVAA$QN~݃[^]ÍUWVSuuVWtA1t&Bхt/9ruE9Burtt]qB&[^_]ËP$@ L$$T$D$ED$D$D$fw
UWVS<&}E1ɋpvt	;U}ɋ?G}܋}E܋}܉pEGEG.1t)UUEQtU܋)t{Eptq1UE
vvtQtUD$FD$F|$T$$D$ED$D$vu<[^_]ËFtpE1U䍓Ut&vtStUD$FD$FT$|$$D$ED$D$vuID$D$$|$&U}T$P$@ |$$T$D$D$D$D$&D$D$D$|$$vEU܉PExl}܉P$@ |$$T$UD$D$D$T$f뎍&'USPtFy@
t&y	R)uD$EL$D$E$[]ùӍt&USQt1D$D$ED$$[]Ít&AP@P[]Ív'UWVSWt7t&BщrօuG$[^_]Ít&'UWV1S$t-BuG$[^_]ËD$0D$D$$$%:;I$>$>$>I&I	:;
t&y	R)uD$EL$D$E$[]ùӍt&USQt1D$D$ED$$[]Ít&AP@P[]Ív'UWVSWt7t&BщrօuG$[^_]Ít&'UWV1S$t-BuG$[^_]ËD$0D$D$$$%:;I$>$>$>I&I	:;

:;I8

:;I8
:;
:;I8
:;
:;I8

I!I/'I:;(
:;
:;I8

I!I/'I:;(
:;
:;I8
.:;' 4:;I4:;I4I4
.:;' 4:;I4:;I4I4
&I.?:;'@:;I:;I:;I
&I.?:;'@:;I:;I:;I
4:;I 4:;I!"1XY#U$41%41&:;I
4:;I 4:;I!"1XY#U$41%41&:;I
'4:;I(4:;I?<)4:;I?
'4:;I(4:;I?<)4:;I?
*!
*!
wM0UintNS=w.8vVS]'A+1        L-
wM0UintNS=w.8vVS]'A+1        L-
sA#
sA#
\#
\#
#
#
#
#
#
#
#
#
G#
G#
i#
i#
##
##
#$
#$
@#(
@#(
 #,
 #,
"#0
"#0
$$#4
$$#4
h&A#8
h&A#8
0*A#<
0*A#<
@,#@
@,#@
J0:#D
J0:#D
C1h#F
C1h#F
2#G
2#G
(6#H
(6#H
P?#L
P?#L
 H#T
 H#T
'I#X
'I#X
.J#\
.J#\
5K#`
5K#`
<L%#d
<L%#d
BNA#h
BNA#h
`P#l
`P#l
   Q##A#
S7
S7'        g'?X(#
)#*K#b+Q#K?    i01Q#m2Q#  yz8T[##-#
   Q##A#
S7
S7'        g'?X(#
)#*K#b+Q#K?    i01Q#m2Q#  yz8T[##-#
##A#H#eA#A#v# v#(]#0Ua#8<A#<A#@'A#Dv#HaA#Pv#fv#A#A#zA#+A#ʜ#A#PP#Ӣ#
A`7 sim#cpuũ#T#pcuc+#|vcurwQixA{p{/] HeJ&tmpfQP,RKXdatHcurQ Q!p/      6 R$gRKPHcurQm Q Q ""X#$K$^#%%"x
##A#H#eA#A#v# v#(]#0Ua#8<A#<A#@'A#Dv#HaA#Pv#fv#A#A#zA#+A#ʜ#A#PP#Ӣ#
A`7 sim#cpuũ#T#pcuc+#|vcurwQixA{p{/] HeJ&tmpfQP,RKXdatHcurQ Q!p/      6 R$gRKPHcurQm Q Q ""X#$K$^#%%"x
#8$q$#P%%!Hp!Hp!2p!LVp/r        `K&datɬ ˴curQ
7F+&dat6DPW    curEQ bEQQMM iRAnewSQ
S]       7M     
Sr        7 b     
S        7w     '*      ((()32W(`
S *(*    (+    
../../support/usr/lib/gcc/i386-redhat-linux/4.3.0/include/usr/include/bits/usr/include../..sched.cstddef.htypes.hstdint.hstdio.hlibio.hsched.hsim-config.hdebug.h.=-=?L82g?<@uLK?Yp
#8$q$#P%%!Hp!Hp!2p!LVp/r        `K&datɬ ˴curQ
7F+&dat6DPW    curEQ bEQQMM iRAnewSQ
S]       7M     
Sr        7 b     
S        7w     '*      ((()32W(`
S *(*    (+    
../../support/usr/lib/gcc/i386-redhat-linux/4.3.0/include/usr/include/bits/usr/include../..sched.cstddef.htypes.hstdint.hstdio.hlibio.hsched.hsim-config.hdebug.h.=-=?L82g?<@uLK?Yp
DA<@y>,>YCy./;=Y>:>ghgdg;0  KvNe/G4tc=Kg@>X0g&<";-Ozf~!'zY?c0eu??==r0,Ou1\*#$)=z.6ux*%s@%lli:SCHED_REMOVE()
DA<@y>,>YCy./;=Y>:>ghgdg;0  KvNe/G4tc=Kg@>X0g&<";-Ozf~!'zY?c0eu??==r0,Ou1\*#$)=z.6ux*%s@%lli:SCHED_REMOVE()
%s@%lli:SCHED_ADD(time %i)
%s@%lli:SCHED_ADD(time %i)
       %i: %p $%p @ %i
       %i: %p $%p @ %i
--------
--------
funcsched_guardScheduled job not going to head of queue, relative time: %i
funcsched_guardScheduled job not going to head of queue, relative time: %i
Setting to-go cycles to %i at %lli
Setting to-go cycles to %i at %lli
Out-of-memory while allocateing scheduler queue
Out-of-memory while allocateing scheduler queue
sched_find_removesched_addsched_print_jobs|JAB
BPAB
C 2AB
C`lAB
AvAB
APUAB
CAB
BCttJuPQtQStSuPrrVuVuPuu{RPRRQQ !t!#t#Ru `u`>u>LLRu P`u`uP>u>LLRu uurur>u>LLNuNRPcVX]V`cVcrP>VLRV`QQXrQQ9QLRQ`u\>u\LRu\eR`rRR]V^W[cVAV>LV[xW`rWW`atactcuQQ{RRttFuPQtQStSumsRsVR{PttMuVMV
sched_find_removesched_addsched_print_jobs|JAB
BPAB
C 2AB
C`lAB
AvAB
APUAB
CAB
BCttJuPQtQStSuPrrVuVuPuu{RPRRQQ !t!#t#Ru `u`>u>LLRu P`u`uP>u>LLRu uurur>u>LLNuNRPcVX]V`cVcrP>VLRV`QQXrQQ9QLRQ`u\>u\LRu\eR`rRR]V^W[cVAV>LV[xW`rWW`atactcuQQ{RRttFuPQtQStSumsRsVR{PttMuVMV
do_scheduler&sched_find_removesched_addgsched_next_insnsched_guardsched_reset    sched_init  schedulerM
do_scheduler&sched_find_removesched_addgsched_next_insnsched_guardsched_reset    sched_init  schedulerM
XQx
XQx
_shortbuf_IO_lock_tstderr__dbch_IO_buf_end__FUNCTION__mem_cycles_IO_write_endunsigned intnextsched_entry_flags__ORSIM_DBCL_FIXMEfree_job_queuesched_reset_markersloadcyclesalltimefuncprev__orsim_dbch___defaultiprompt_run_posstdout_IO_save_endfoutmdelaylong long unsigned intfexe_logsched_add_IO_backup_base_offsettimetime_point_fileno__dbcl/home/jeremy/partners/OpenCores/cvstrees/or1k/or1ksim/build/supportsize_t_IO_read_baseruntime__orsim_dbch_sched_jobsstdin__ORSIM_DBCL_ERRcycle_duration_nexthazardwaitstorecyclesschedulerchar_modefilename_IO_marker_IO_read_ptrscheduler_structsupercyclesvapifmprof_IO_write_baselong long int_IO_save_base../../support/sched.cend_cycles__ORSIM_DEBUG_CLASS__quad_tsched_find_removeparaminstructions__pad1__pad2__pad3__pad4__pad5_vtable_offsetjob_funcfprofhushreset_instructions__ORSIM_DBCL_TRACEstalled_IO_read_endenablednew_jobshort intsched_initlong intvapi_fileGNU C 4.3.0 20080428 (Red Hat 4.3.0-8)__orsim_dbch_schedsched_next_insninit_locklong unsigned int_old_offset_IO_FILEext_intint32_tipromptjob_queueunsigned char_sbuf_IO_write_ptrreset_cyclesjob_timesched_guarddo_schedulerserver_port__off_tsched_print_jobssigned charshort unsigned intdoublejob_paramcycles_chainFILE_flags2__ORSIM_DBCL_WARN_cur_column__off64_t_unused2_IO_buf_baseGCC: (GNU) 4.3.0 20080428 (Red Hat 4.3.0-8)$.symtab.strtab.shstrtab.rel.text.data.bss.debug_abbrev.rel.debug_info.rel.debug_line.rodata.str1.1.rodata.str1.4.rodata.rel.debug_frame.debug_loc.rel.debug_pubnames.rel.debug_aranges.debug_ranges.debug_str.comment.text.__i686.get_pc_thunk.bx.note.GNU-stack.group4"@M  t,P%+0B
_shortbuf_IO_lock_tstderr__dbch_IO_buf_end__FUNCTION__mem_cycles_IO_write_endunsigned intnextsched_entry_flags__ORSIM_DBCL_FIXMEfree_job_queuesched_reset_markersloadcyclesalltimefuncprev__orsim_dbch___defaultiprompt_run_posstdout_IO_save_endfoutmdelaylong long unsigned intfexe_logsched_add_IO_backup_base_offsettimetime_point_fileno__dbcl/home/jeremy/partners/OpenCores/cvstrees/or1k/or1ksim/build/supportsize_t_IO_read_baseruntime__orsim_dbch_sched_jobsstdin__ORSIM_DBCL_ERRcycle_duration_nexthazardwaitstorecyclesschedulerchar_modefilename_IO_marker_IO_read_ptrscheduler_structsupercyclesvapifmprof_IO_write_baselong long int_IO_save_base../../support/sched.cend_cycles__ORSIM_DEBUG_CLASS__quad_tsched_find_removeparaminstructions__pad1__pad2__pad3__pad4__pad5_vtable_offsetjob_funcfprofhushreset_instructions__ORSIM_DBCL_TRACEstalled_IO_read_endenablednew_jobshort intsched_initlong intvapi_fileGNU C 4.3.0 20080428 (Red Hat 4.3.0-8)__orsim_dbch_schedsched_next_insninit_locklong unsigned int_old_offset_IO_FILEext_intint32_tipromptjob_queueunsigned char_sbuf_IO_write_ptrreset_cyclesjob_timesched_guarddo_schedulerserver_port__off_tsched_print_jobssigned charshort unsigned intdoublejob_paramcycles_chainFILE_flags2__ORSIM_DBCL_WARN_cur_column__off64_t_unused2_IO_buf_baseGCC: (GNU) 4.3.0 20080428 (Red Hat 4.3.0-8)$.symtab.strtab.shstrtab.rel.text.data.bss.debug_abbrev.rel.debug_info.rel.debug_line.rodata.str1.1.rodata.str1.4.rodata.rel.debug_frame.debug_loc.rel.debug_pubnames.rel.debug_aranges.debug_ranges.debug_str.comment.text.__i686.get_pc_thunk.bx.note.GNU-stack.group4"@M  t,P%+0B
>  .RN T5 ^2_am2|U- \5pp y 5  51h0v"-<"@"@"'0!     +Z          

-
>  .RN T5 ^2_am2|U- \5pp y 5  51h0v"-<"@"@"'0!     +Z          

-


?D4IFNSX@]PbUgdlJyP 2
`lv)PU5@GNUsched.c__FUNCTION__.3438__FUNCTION__.3374__FUNCTION__.3398.LC0.LC2.LC3.LC1.LC4.LC5.LC6.LC7.LC8do_scheduler__i686.get_pc_thunk.bx_GLOBAL_OFFSET_TABLE_schedulersched_find_remove__orsim_dbch_schedruntimeorsim_dbg_logsched_add__orsim_dbch_sched_jobssched_next_insnsched_guardsched_resetsched_initmallocstderrfwriteexit"


?D4IFNSX@]PbUgdlJyP 2
`lv)PU5@GNUsched.c__FUNCTION__.3438__FUNCTION__.3374__FUNCTION__.3398.LC0.LC2.LC3.LC1.LC4.LC5.LC6.LC7.LC8do_scheduler__i686.get_pc_thunk.bx_GLOBAL_OFFSET_TABLE_schedulersched_find_remove__orsim_dbch_schedruntimeorsim_dbg_logsched_add__orsim_dbch_sched_jobssched_next_insnsched_guardsched_resetsched_initmallocstderrfwriteexit"
#$W"]
#$W"]
#i&t$'  
('"-
#i&t$'  
('"-
#6&E*T$&*  
&D&M(z$&  
&(&& $ 
8(M's } 
&(  
(&'& ( 
5(@&e"k
#6&E*T$&*  
&D&M(z$&  
&(&& $ 
8(M's } 
&(  
(&'& ( 
5(@&e"k
#t$ )"
#t$ )"
#$ 
#$ 
,)2,W"]
,)2,W"]
#f$,"
#f$,"
#$/,05 =1I2!&3=V]dkry"1@O^m|!0?N]l{#1Xdr,:HVdr4Ba

#$/,05 =1I2!&3=V]dkry"1@O^m|!0?N]l{#1Xdr,:HVdr4Ba

(/37@JY^v{
",1;@JSWbkty'37@KWb
iptx           $ ( , =   &     $   8<X\x|debug.o/        1223831529  500   500   100664  16756     `
(/37@JY^v{
",1;@JSWbkty'37@KWb
iptx           $ ( , =   &     $   8<X\x|debug.o/        1223831529  500   500   100664  16756     `
ELF(*4(UEMUu ]Ðt&]Ðt&U]Eu9B|*ED$ED$F$F$]u]Í&UWVSt,UG$T$uEU|$D$$[^_]Ít&'U(]}}uEUD$ED$D$P$@ T$D$D$$<$|
ELF(*4(UEMUu ]Ðt&]Ðt&U]Eu9B|*ED$ED$F$F$]u]Í&UWVSt,UG$T$uEU|$D$$[^_]Ít&'U(]}}uEUD$ED$D$P$@ T$D$D$$<$|
|$ED$$]u}]ÍEUD$ED$D$D$$널t&tschedexceptcyclessched_jobssprimmudmmupictickgenericuartethconfigatagpiomcdmavapisimprintfjtagcoff%:;I$>$>$>I&I  I
|$ED$$]u}]ÍEUD$ED$D$D$$널t&tschedexceptcyclessched_jobssprimmudmmupictickgenericuartethconfigatagpiomcdmavapisimprintfjtagcoff%:;I$>$>$>I&I  I
!I/:;
:;I8
!I/:;
:;I8

I:;
:;I8

I:;
:;I8
:;'II':;
:;I8
:;'II':;
:;I8
:;(
.?:;'I :;I:;I.?:;'@:;I:;I:;I
:;(
.?:;'I :;I:;I.?:;'@:;I:;I:;I
 !4:;I"4:;I
 !4:;I"4:;I
#4:;I$4:;I
#4:;I$4:;I
%4:;I&U'4:;I(1UXY)1*:;I
%4:;I&U'4:;I(1UXY)1*:;I
+4:;I?<,4:;I?
+4:;I?<,4:;I?
GCa0nintNSang8veS S
GCa0nintNSang8veS S
7  S
7  S
71-A######`#x#;# #$#(] #,"#0$#4&A#8*A#<,#@Y0:#D1h#F2#Gh6#H?#LH#TI#XJ#\K#`L%#dfNA#hoP#l+
Su##QA#        S
71-A######`#x#;# #$#(] #,"#0$#4&A#8*A#<,#@Y0:#D1h#F2#Gh6#H?#LH#TI#XJ#\K#`L%#dfNA#hoP#l+
Su##QA#        S
7    S$
7    S$
7'P9b :#A;|#W>#a|aabaaa@DpEA#FA#HA#pI#/KA#L#_NA#OA#[PA# xQv#$!Rv#,LSA#4U#8V#<Z[A#x\A#]A#^A#_#cTd#eA#*fA#gA#hA#lOAmA#'nA#oA#8pA#$tuA#vA#8wA##xA#yA#zA#h{A#|A#F}A# picA#wA#A#sA#A#A#A#A#A#A#A#xA#=a#`7PextA/#simW#`p#Lcuci#`cpuq#tdc~O#pic#pm#bpb#s#TGG#G#G#jG#A#l#A#EA#v# Iv#(M#0a#8GA#<A#@A#Dv#HA#Pv#v#*A#A#A#A#G#xA##M#   A
7'P9b :#A;|#W>#a|aabaaa@DpEA#FA#HA#pI#/KA#L#_NA#OA#[PA# xQv#$!Rv#,LSA#4U#8V#<Z[A#x\A#]A#^A#_#cTd#eA#*fA#gA#hA#lOAmA#'nA#oA#8pA#$tuA#vA#8wA##xA#yA#zA#h{A#|A#F}A# picA#wA#A#sA#A#A#A#A#A#A#A#xA#=a#`7PextA/#simW#`p#Lcuci#`cpuq#tdc~O#pic#pm#bpb#s#TGG#G#G#jG#A#l#A#EA#v# Iv#(M#0a#8GA#<A#@A#Dv#HA#Pv#v#*A#A#A#A#G#xA##M#   A
7P simP#cpuT#Ṭ#pcuc#|
7P simP#cpuT#Ṭ#pcuc#|
u      G-e     __sdHgdAqdA:q __soHgoAqoA{pAL+7
u      G-e     __sdHgdAqdA:q __soHgoAqoA{pAL+7
KP      ,KKonKAj0
KP      ,KKonKAj0
]A4H X!ap$T`Bstr_H
"aP   uT#ibA"cAuX$alldAu\%ReH"fHud&'iS'iS'iS(u	i)	)	)	T%SP	SH*onSA%U	 'X%'X%:0C*8P	8H9H49HH!ap;$"
]A4H X!ap$T`Bstr_H
"aP   uT#ibA"cAuX$alldAu\%ReH"fHud&'iS'iS'iS(u	i)	)	)	T%SP	SH*onSA%U	 'X%'X%:0C*8P	8H9H49HH!ap;$"
7">0* H[
7">0* H[
7"5lK++V+-+`+            S
7"5lK++V+-+`+            S
7,%     ,M       ,            S
7,%     ,M       ,            S
7,     !    S*
7,     !    S*
7,     "
    SL
7,     "
    SL
7,     #<
,       $<
,6       %
,/       &<
       S
7,     #<
,       $<
,6       %
,/       &<
       S
7,c     '
,       (<
,       )
,2       *,       +
,{       ,<
,       -,       .
,o       /<
    SV
7,c     '
,       (<
,       )
,2       *,       +
,{       ,<
,       -,       .
,o       /<
    SV
7
7
,  0F,$       1<
,	2<

../../support/usr/include/bits/usr/lib/gcc/i386-redhat-linux/4.3.0/include/usr/include../..debug.cstring2.hstddef.htypes.hstdio.hlibio.hstdarg.hsim-config.hdbchs.hdebug.h=;gMqt;׫B< `=;;x-=i00!gˇx'aZr>,>8x|>iYsh?Y\].MHu
<w.KtracefixmewarnerrUnknown class specified
,  0F,$       1<
,	2<

../../support/usr/include/bits/usr/lib/gcc/i386-redhat-linux/4.3.0/include/usr/include../..debug.cstring2.hstddef.htypes.hstdio.hlibio.hstdarg.hsim-config.hdbchs.hdebug.h=;gMqt;׫B< `=;;x-=i00!gˇx'aZr>,>8x|>iYsh?Y\].MHu
<w.KtracefixmewarnerrUnknown class specified
Unknown channel specified
Unknown channel specified
%s:%s:%s: %lld:%s:%s:%s: |+AB
0YAB
FQ$AB
CeAB
C 0AB
FNFtt+u+Q+R+u01t13t3u0UUXPXuttuV(R(]V]3u35V5:R:EVEzuzjVjuVWWhRm1W3<WEKRKWRRRjWRIu`IkPpEu`EMPMu`P^jPju`Pu`tt%uuu%"V01t13t3Cu0[[WuCWA       orsim_dbcl_set7
%s:%s:%s: %lld:%s:%s:%s: |+AB
0YAB
FQ$AB
CeAB
C 0AB
FNFtt+u+Q+R+u01t13t3u0UUXPXuttuV(R(]V]3u35V5:R:EVEzuzjVjuVWWhRm1W3<WEKRKWRRRjWRIu`IkPpEu`EMPMu`P^jPju`Pu`tt%uuu%"V01t13t3Cu0[[WuCWA       orsim_dbcl_set7
debug
debug
parse_dbchsBorsim_dbcl_set_nameorsim_dbg_log__orsim_dbch_sched__orsim_dbch_except__orsim_dbch_cycles
__orsim_dbch_sched_jobs*
__orsim_dbch_sprL
__orsim_dbch_immu^
__orsim_dbch_dmmup
__orsim_dbch_pic
__orsim_dbch_tick
__orsim_dbch_generic
__orsim_dbch_uart
__orsim_dbch_eth
__orsim_dbch_config
__orsim_dbch_ata
__orsim_dbch_gpio__orsim_dbch_mc"__orsim_dbch_dma4__orsim_dbch_vapiV__orsim_dbch_simprintfh__orsim_dbch_jtagz__orsim_dbch_coffC8H8Hvapi_fndebug_shortbuf_IO_lock_tblocksizestderrformat_IO_buf_endmem_cyclescendwrite_up_IO_write_endunsigned int__orsim_dbch_gpio_flagsnways__ORSIM_DBCL_FIXMEbticclkcycle_ps_markersloadcycleslast_lf__orsim_dbch_sprdependstatsmprof_fn__orsim_dbch_cofforsim_dbg_logclass_ptrcalling_conventionvapi_idiprompt_run_posstdout_IO_save_endfout__orsim_dbch_vapimdelaylong long unsigned intfexe_logsbp_bf_fwd__orsim_dbch_ataexe_log_IO_backup_base_offsetinittime_point_fileno__orsim_dbch_immu__gnuc_va_list/home/jeremy/partners/OpenCores/cvstrees/or1k/or1ksim/build/supportsize_tstore_hitdelayedge_trigger_IO_read_baseruntime__orsim_dbch_sched_jobsstdin__ORSIM_DBCL_ERRcycle_duration_nextorsim_dbcl_sethazardwaitstorecyclesorsim_dbcl_set_name__orsim_dbch_simprintf__orsim_dbch_ticksuperscalar__orsim_dbch_exceptchar_modefilename_IO_marker_IO_read_ptrload_missdelaydisensupercyclesmemory_order__a0__a1__a2fmprofdebug_classesva_list_IO_write_basegdb_enabledlong long int__s2_len_IO_save_basehazardsmprofilesbuf_lenread_upend_cyclestimings_fnhistory__quad_tprof_fnexe_log_startinstructions__orsim_dbch_dmmu__pad1__pad2__pad3__pad4__pad5enable_bursts_vtable_offset__ORSIM_DEBUG_CLASSfprofhushreset_instructions__ORSIM_DBCL_TRACEstalled__orsim_dbch_configload_hitdelayparse_dbchsconfig__accept1__accept2__accept3ustates_IO_read_endenabledshort intsbp_bnf_fwdvapilong intvapi_filehide_device_idhitdelaylog_enableddbchdbclGNU C 4.3.0 20080428 (Red Hat 4.3.0-8)__orsim_dbch_schednsets__orsim_dbchsexe_log_markerexe_log_type_locklong unsigned int_old_offsetno_multicycle_IO_FILEext_int__orsim_dbch_mc__orsim_dbch_uartipromptunsigned charfunction_sbufdbchs_IO_write_ptr__orsim_dbch_ethreset_cycles__orsim_dbch_jtag__orsim_dbch_pic../../support/debug.clevel__orsim_dbch_genericserver_port__off_tverbosesigned charprofileshort unsigned intexe_log_fn__builtin_va_list__orsim_dbch_cyclesdoublemissdelaycycles_chainFILE_flags2__s1_lenexe_log_end__strpbrk_c2__strpbrk_c3__ORSIM_DBCL_WARN_cur_column__off64_t_unused2_IO_buf_basechan_end__orsim_dbch_dmastore_missdelayGCC: (GNU) 4.3.0 20080428 (Red Hat 4.3.0-8)$.symtab.strtab.shstrtab.rel.text.data.bss.debug_abbrev.rel.debug_info.rel.debug_line.rodata.str1.1.rel.data.rel.ro.local.rel.data.rel.rel.debug_frame.debug_loc.rel.debug_pubnames.rel.debug_aranges.debug_ranges.debug_str.comment.text.__i686.get_pc_thunk.bx.note.GNU-stack.group$4"@C       6%+0-BA> 7XRN <@ ^2tdqm D@ X  d@X AP~E \A  dA00 (-(((+/p      p3          X$27<.AFKPTUIZ+i0Yo$e0(0CWo#(.49? HNS[$`6fFjWoiudebug.c__orsim_dbchslast_lf.3325debug_classes.LC0.LC1.LC5.LC2.LC3.LC4.LC7.LC6orsim_dbcl_setdebug__i686.get_pc_thunk.bx_GLOBAL_OFFSET_TABLE_configruntimevfprintffflushparse_dbchsstderrstrchrstrncmpfwritestrlenorsim_dbcl_set_namestrcmporsim_dbg_log__orsim_dbch_cyclesfprintf__orsim_dbch_sched__orsim_dbch_except__orsim_dbch_sched_jobs__orsim_dbch_spr__orsim_dbch_immu__orsim_dbch_dmmu__orsim_dbch_pic__orsim_dbch_tick__orsim_dbch_generic__orsim_dbch_uart__orsim_dbch_eth__orsim_dbch_config__orsim_dbch_ata__orsim_dbch_gpio__orsim_dbch_mc__orsim_dbch_dma__orsim_dbch_vapi__orsim_dbch_simprintf__orsim_dbch_jtag__orsim_dbch_coff="C
parse_dbchsBorsim_dbcl_set_nameorsim_dbg_log__orsim_dbch_sched__orsim_dbch_except__orsim_dbch_cycles
__orsim_dbch_sched_jobs*
__orsim_dbch_sprL
__orsim_dbch_immu^
__orsim_dbch_dmmup
__orsim_dbch_pic
__orsim_dbch_tick
__orsim_dbch_generic
__orsim_dbch_uart
__orsim_dbch_eth
__orsim_dbch_config
__orsim_dbch_ata
__orsim_dbch_gpio__orsim_dbch_mc"__orsim_dbch_dma4__orsim_dbch_vapiV__orsim_dbch_simprintfh__orsim_dbch_jtagz__orsim_dbch_coffC8H8Hvapi_fndebug_shortbuf_IO_lock_tblocksizestderrformat_IO_buf_endmem_cyclescendwrite_up_IO_write_endunsigned int__orsim_dbch_gpio_flagsnways__ORSIM_DBCL_FIXMEbticclkcycle_ps_markersloadcycleslast_lf__orsim_dbch_sprdependstatsmprof_fn__orsim_dbch_cofforsim_dbg_logclass_ptrcalling_conventionvapi_idiprompt_run_posstdout_IO_save_endfout__orsim_dbch_vapimdelaylong long unsigned intfexe_logsbp_bf_fwd__orsim_dbch_ataexe_log_IO_backup_base_offsetinittime_point_fileno__orsim_dbch_immu__gnuc_va_list/home/jeremy/partners/OpenCores/cvstrees/or1k/or1ksim/build/supportsize_tstore_hitdelayedge_trigger_IO_read_baseruntime__orsim_dbch_sched_jobsstdin__ORSIM_DBCL_ERRcycle_duration_nextorsim_dbcl_sethazardwaitstorecyclesorsim_dbcl_set_name__orsim_dbch_simprintf__orsim_dbch_ticksuperscalar__orsim_dbch_exceptchar_modefilename_IO_marker_IO_read_ptrload_missdelaydisensupercyclesmemory_order__a0__a1__a2fmprofdebug_classesva_list_IO_write_basegdb_enabledlong long int__s2_len_IO_save_basehazardsmprofilesbuf_lenread_upend_cyclestimings_fnhistory__quad_tprof_fnexe_log_startinstructions__orsim_dbch_dmmu__pad1__pad2__pad3__pad4__pad5enable_bursts_vtable_offset__ORSIM_DEBUG_CLASSfprofhushreset_instructions__ORSIM_DBCL_TRACEstalled__orsim_dbch_configload_hitdelayparse_dbchsconfig__accept1__accept2__accept3ustates_IO_read_endenabledshort intsbp_bnf_fwdvapilong intvapi_filehide_device_idhitdelaylog_enableddbchdbclGNU C 4.3.0 20080428 (Red Hat 4.3.0-8)__orsim_dbch_schednsets__orsim_dbchsexe_log_markerexe_log_type_locklong unsigned int_old_offsetno_multicycle_IO_FILEext_int__orsim_dbch_mc__orsim_dbch_uartipromptunsigned charfunction_sbufdbchs_IO_write_ptr__orsim_dbch_ethreset_cycles__orsim_dbch_jtag__orsim_dbch_pic../../support/debug.clevel__orsim_dbch_genericserver_port__off_tverbosesigned charprofileshort unsigned intexe_log_fn__builtin_va_list__orsim_dbch_cyclesdoublemissdelaycycles_chainFILE_flags2__s1_lenexe_log_end__strpbrk_c2__strpbrk_c3__ORSIM_DBCL_WARN_cur_column__off64_t_unused2_IO_buf_basechan_end__orsim_dbch_dmastore_missdelayGCC: (GNU) 4.3.0 20080428 (Red Hat 4.3.0-8)$.symtab.strtab.shstrtab.rel.text.data.bss.debug_abbrev.rel.debug_info.rel.debug_line.rodata.str1.1.rel.data.rel.ro.local.rel.data.rel.rel.debug_frame.debug_loc.rel.debug_pubnames.rel.debug_aranges.debug_ranges.debug_str.comment.text.__i686.get_pc_thunk.bx.note.GNU-stack.group$4"@C       6%+0-BA> 7XRN <@ ^2tdqm D@ X  d@X AP~E \A  dA00 (-(((+/p      p3          X$27<.AFKPTUIZ+i0Yo$e0(0CWo#(.49? HNS[$`6fFjWoiudebug.c__orsim_dbchslast_lf.3325debug_classes.LC0.LC1.LC5.LC2.LC3.LC4.LC7.LC6orsim_dbcl_setdebug__i686.get_pc_thunk.bx_GLOBAL_OFFSET_TABLE_configruntimevfprintffflushparse_dbchsstderrstrchrstrncmpfwritestrlenorsim_dbcl_set_namestrcmporsim_dbg_log__orsim_dbch_cyclesfprintf__orsim_dbch_sched__orsim_dbch_except__orsim_dbch_sched_jobs__orsim_dbch_spr__orsim_dbch_immu__orsim_dbch_dmmu__orsim_dbch_pic__orsim_dbch_tick__orsim_dbch_generic__orsim_dbch_uart__orsim_dbch_eth__orsim_dbch_config__orsim_dbch_ata__orsim_dbch_gpio__orsim_dbch_mc__orsim_dbch_dma__orsim_dbch_vapi__orsim_dbch_simprintf__orsim_dbch_jtag__orsim_dbch_coff="C
#L$Z%p&{'"
#L$Z%p&{'"
#  )$*Y 
~ 
+  
  
  
 c k,++ + *+4)P X, -"
#  )$*Y 
~ 
+  
  
  
 c k,++ + *+4)P X, -"
# 
 
/ :"@
# 
 
/ :"@
#O ]1r) % 2- &)  -2:)!&3=V]dkry
#O ]1r) % 2- &)  -2:)!&3=V]dkry
(7FUds '6ETcr%8FT*8FTby%3AXft-;IWe|AYgu+9P]ky        4 Q ] c i o w          
(7FUds '6ETcr%8FT*8FTby%3AXft-;IWe|AYgu+9P]ky        4 Q ] c i o w          






$
$
2
2
9
9
@
@
D
D
H
H
Q
Q
[
[
`
`
o
o
s
s






















*DKOS\jt  %;G
\hr341 

5+
8
6M
Z
7_
l
8q
~
9

:

;

<

=

>

?
@A#0B5BCWdDivE{F34156789 :$;(<,=0>4?8@<A@BDCHDLEPF04PTptmisc.o/         1223831529  500   500   100664  2624      `
*DKOS\jt  %;G
\hr341 

5+
8
6M
Z
7_
l
8q
~
9

:

;

<

=

>

?
@A#0B5BCWdDivE{F34156789 :$;(<,=0>4?8@<A@BDCHDLEPF04PTptmisc.o/         1223831529  500   500   100664  2624      `
ELF4(UUtt1&u]Ít&1]Ít&U1Uuft1]%.?:;'I@:;I4:;I$>$>.?:;'I@NuQ$],]x#d,c%]KintD/]0Qix.]Q+
../../supportmisc.c#<>LW[c1j!-.=-Z|,AB
0!AD
tt,u
ELF4(UUtt1&u]Ít&1]Ít&U1Uuft1]%.?:;'I@:;I4:;I$>$>.?:;'I@NuQ$],]x#d,c%]KintD/]0Qix.]Q+
../../supportmisc.c#<>LW[c1j!-.=-Z|,AB
0!AD
tt,u


,R"P**P01t15t5Qu0<<QR)%log2_intkis_power2Q/home/jeremy/partners/OpenCores/cvstrees/or1k/or1ksim/build/supportis_power2GNU C 4.3.0 20080428 (Red Hat 4.3.0-8)../../support/misc.clong unsigned intlog2_intGCC: (GNU) 4.3.0 20080428 (Red Hat 4.3.0-8).symtab.strtab.shstrtab.text.data.bss.debug_abbrev.rel.debug_info.rel.debug_line.rel.debug_frame.debug_loc.rel.debug_pubnames.rel.debug_aranges.debug_str.comment.note.GNU-stack@Q!',x>:     h    NUJ
,R"P**P01t15t5Qu0<<QR)%log2_intkis_power2Q/home/jeremy/partners/OpenCores/cvstrees/or1k/or1ksim/build/supportis_power2GNU C 4.3.0 20080428 (Red Hat 4.3.0-8)../../support/misc.clong unsigned intlog2_intGCC: (GNU) 4.3.0 20080428 (Red Hat 4.3.0-8).symtab.strtab.shstrtab.text.data.bss.debug_abbrev.rel.debug_info.rel.debug_line.rel.debug_frame.debug_loc.rel.debug_pubnames.rel.debug_aranges.debug_str.comment.note.GNU-stack@Q!',x>:     h    NUJ
^DZ      
^DZ      
       k8z-v (
       k8z-v (
       0
       0
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.