OpenCores
URL https://opencores.org/ocsvn/openrisc/openrisc/trunk

Subversion Repositories openrisc

[/] [openrisc/] [trunk/] [gnu-old/] [gdb-6.8/] [sim/] [mn10300/] [interp.c] - Diff between revs 827 and 840

Go to most recent revision | Only display areas with differences | Details | Blame | View Log

Rev 827 Rev 840
#include <signal.h>
#include <signal.h>
 
 
#include "sim-main.h"
#include "sim-main.h"
#include "sim-options.h"
#include "sim-options.h"
#include "sim-hw.h"
#include "sim-hw.h"
 
 
#include "sysdep.h"
#include "sysdep.h"
#include "bfd.h"
#include "bfd.h"
#include "sim-assert.h"
#include "sim-assert.h"
 
 
 
 
#ifdef HAVE_STDLIB_H
#ifdef HAVE_STDLIB_H
#include <stdlib.h>
#include <stdlib.h>
#endif
#endif
 
 
#ifdef HAVE_STRING_H
#ifdef HAVE_STRING_H
#include <string.h>
#include <string.h>
#else
#else
#ifdef HAVE_STRINGS_H
#ifdef HAVE_STRINGS_H
#include <strings.h>
#include <strings.h>
#endif
#endif
#endif
#endif
 
 
#include "bfd.h"
#include "bfd.h"
 
 
#ifndef INLINE
#ifndef INLINE
#ifdef __GNUC__
#ifdef __GNUC__
#define INLINE inline
#define INLINE inline
#else
#else
#define INLINE
#define INLINE
#endif
#endif
#endif
#endif
 
 
 
 
host_callback *mn10300_callback;
host_callback *mn10300_callback;
int mn10300_debug;
int mn10300_debug;
struct _state State;
struct _state State;
 
 
 
 
/* simulation target board.  NULL=default configuration */
/* simulation target board.  NULL=default configuration */
static char* board = NULL;
static char* board = NULL;
 
 
static DECLARE_OPTION_HANDLER (mn10300_option_handler);
static DECLARE_OPTION_HANDLER (mn10300_option_handler);
 
 
enum {
enum {
  OPTION_BOARD = OPTION_START,
  OPTION_BOARD = OPTION_START,
};
};
 
 
static SIM_RC
static SIM_RC
mn10300_option_handler (SIM_DESC sd,
mn10300_option_handler (SIM_DESC sd,
                        sim_cpu *cpu,
                        sim_cpu *cpu,
                        int opt,
                        int opt,
                        char *arg,
                        char *arg,
                        int is_command)
                        int is_command)
{
{
  int cpu_nr;
  int cpu_nr;
  switch (opt)
  switch (opt)
    {
    {
    case OPTION_BOARD:
    case OPTION_BOARD:
      {
      {
        if (arg)
        if (arg)
          {
          {
            board = zalloc(strlen(arg) + 1);
            board = zalloc(strlen(arg) + 1);
            strcpy(board, arg);
            strcpy(board, arg);
          }
          }
        return SIM_RC_OK;
        return SIM_RC_OK;
      }
      }
    }
    }
 
 
  return SIM_RC_OK;
  return SIM_RC_OK;
}
}
 
 
static const OPTION mn10300_options[] =
static const OPTION mn10300_options[] =
{
{
#define BOARD_AM32 "stdeval1"
#define BOARD_AM32 "stdeval1"
  { {"board", required_argument, NULL, OPTION_BOARD},
  { {"board", required_argument, NULL, OPTION_BOARD},
     '\0', "none" /* rely on compile-time string concatenation for other options */
     '\0', "none" /* rely on compile-time string concatenation for other options */
           "|" BOARD_AM32
           "|" BOARD_AM32
    , "Customize simulation for a particular board.", mn10300_option_handler },
    , "Customize simulation for a particular board.", mn10300_option_handler },
 
 
  { {NULL, no_argument, NULL, 0}, '\0', NULL, NULL, NULL }
  { {NULL, no_argument, NULL, 0}, '\0', NULL, NULL, NULL }
};
};
 
 
/* For compatibility */
/* For compatibility */
SIM_DESC simulator;
SIM_DESC simulator;
 
 
/* These default values correspond to expected usage for the chip.  */
/* These default values correspond to expected usage for the chip.  */
 
 
SIM_DESC
SIM_DESC
sim_open (SIM_OPEN_KIND kind,
sim_open (SIM_OPEN_KIND kind,
          host_callback *cb,
          host_callback *cb,
          struct bfd *abfd,
          struct bfd *abfd,
          char **argv)
          char **argv)
{
{
  SIM_DESC sd = sim_state_alloc (kind, cb);
  SIM_DESC sd = sim_state_alloc (kind, cb);
  mn10300_callback = cb;
  mn10300_callback = cb;
 
 
  SIM_ASSERT (STATE_MAGIC (sd) == SIM_MAGIC_NUMBER);
  SIM_ASSERT (STATE_MAGIC (sd) == SIM_MAGIC_NUMBER);
 
 
  /* for compatibility */
  /* for compatibility */
  simulator = sd;
  simulator = sd;
 
 
  /* FIXME: should be better way of setting up interrupts.  For
  /* FIXME: should be better way of setting up interrupts.  For
     moment, only support watchpoints causing a breakpoint (gdb
     moment, only support watchpoints causing a breakpoint (gdb
     halt). */
     halt). */
  STATE_WATCHPOINTS (sd)->pc = &(PC);
  STATE_WATCHPOINTS (sd)->pc = &(PC);
  STATE_WATCHPOINTS (sd)->sizeof_pc = sizeof (PC);
  STATE_WATCHPOINTS (sd)->sizeof_pc = sizeof (PC);
  STATE_WATCHPOINTS (sd)->interrupt_handler = NULL;
  STATE_WATCHPOINTS (sd)->interrupt_handler = NULL;
  STATE_WATCHPOINTS (sd)->interrupt_names = NULL;
  STATE_WATCHPOINTS (sd)->interrupt_names = NULL;
 
 
  if (sim_pre_argv_init (sd, argv[0]) != SIM_RC_OK)
  if (sim_pre_argv_init (sd, argv[0]) != SIM_RC_OK)
    return 0;
    return 0;
  sim_add_option_table (sd, NULL, mn10300_options);
  sim_add_option_table (sd, NULL, mn10300_options);
 
 
  /* Allocate core managed memory */
  /* Allocate core managed memory */
  sim_do_command (sd, "memory region 0,0x100000");
  sim_do_command (sd, "memory region 0,0x100000");
  sim_do_command (sd, "memory region 0x40000000,0x200000");
  sim_do_command (sd, "memory region 0x40000000,0x200000");
 
 
  /* getopt will print the error message so we just have to exit if this fails.
  /* getopt will print the error message so we just have to exit if this fails.
     FIXME: Hmmm...  in the case of gdb we need getopt to call
     FIXME: Hmmm...  in the case of gdb we need getopt to call
     print_filtered.  */
     print_filtered.  */
  if (sim_parse_args (sd, argv) != SIM_RC_OK)
  if (sim_parse_args (sd, argv) != SIM_RC_OK)
    {
    {
      /* Uninstall the modules to avoid memory leaks,
      /* Uninstall the modules to avoid memory leaks,
         file descriptor leaks, etc.  */
         file descriptor leaks, etc.  */
      sim_module_uninstall (sd);
      sim_module_uninstall (sd);
      return 0;
      return 0;
    }
    }
 
 
  if ( NULL != board
  if ( NULL != board
       && (strcmp(board, BOARD_AM32) == 0 ) )
       && (strcmp(board, BOARD_AM32) == 0 ) )
    {
    {
      /* environment */
      /* environment */
      STATE_ENVIRONMENT (sd) = OPERATING_ENVIRONMENT;
      STATE_ENVIRONMENT (sd) = OPERATING_ENVIRONMENT;
 
 
      sim_do_command (sd, "memory region 0x44000000,0x40000");
      sim_do_command (sd, "memory region 0x44000000,0x40000");
      sim_do_command (sd, "memory region 0x48000000,0x400000");
      sim_do_command (sd, "memory region 0x48000000,0x400000");
 
 
      /* device support for mn1030002 */
      /* device support for mn1030002 */
      /* interrupt controller */
      /* interrupt controller */
 
 
      sim_hw_parse (sd, "/mn103int@0x34000100/reg 0x34000100 0x7C 0x34000200 0x8 0x34000280 0x8");
      sim_hw_parse (sd, "/mn103int@0x34000100/reg 0x34000100 0x7C 0x34000200 0x8 0x34000280 0x8");
 
 
      /* DEBUG: NMI input's */
      /* DEBUG: NMI input's */
      sim_hw_parse (sd, "/glue@0x30000000/reg 0x30000000 12");
      sim_hw_parse (sd, "/glue@0x30000000/reg 0x30000000 12");
      sim_hw_parse (sd, "/glue@0x30000000 > int0 nmirq /mn103int");
      sim_hw_parse (sd, "/glue@0x30000000 > int0 nmirq /mn103int");
      sim_hw_parse (sd, "/glue@0x30000000 > int1 watchdog /mn103int");
      sim_hw_parse (sd, "/glue@0x30000000 > int1 watchdog /mn103int");
      sim_hw_parse (sd, "/glue@0x30000000 > int2 syserr /mn103int");
      sim_hw_parse (sd, "/glue@0x30000000 > int2 syserr /mn103int");
 
 
      /* DEBUG: ACK input */
      /* DEBUG: ACK input */
      sim_hw_parse (sd, "/glue@0x30002000/reg 0x30002000 4");
      sim_hw_parse (sd, "/glue@0x30002000/reg 0x30002000 4");
      sim_hw_parse (sd, "/glue@0x30002000 > int ack /mn103int");
      sim_hw_parse (sd, "/glue@0x30002000 > int ack /mn103int");
 
 
      /* DEBUG: LEVEL output */
      /* DEBUG: LEVEL output */
      sim_hw_parse (sd, "/glue@0x30004000/reg 0x30004000 8");
      sim_hw_parse (sd, "/glue@0x30004000/reg 0x30004000 8");
      sim_hw_parse (sd, "/mn103int > nmi int0 /glue@0x30004000");
      sim_hw_parse (sd, "/mn103int > nmi int0 /glue@0x30004000");
      sim_hw_parse (sd, "/mn103int > level int1 /glue@0x30004000");
      sim_hw_parse (sd, "/mn103int > level int1 /glue@0x30004000");
 
 
      /* DEBUG: A bunch of interrupt inputs */
      /* DEBUG: A bunch of interrupt inputs */
      sim_hw_parse (sd, "/glue@0x30006000/reg 0x30006000 32");
      sim_hw_parse (sd, "/glue@0x30006000/reg 0x30006000 32");
      sim_hw_parse (sd, "/glue@0x30006000 > int0 irq-0 /mn103int");
      sim_hw_parse (sd, "/glue@0x30006000 > int0 irq-0 /mn103int");
      sim_hw_parse (sd, "/glue@0x30006000 > int1 irq-1 /mn103int");
      sim_hw_parse (sd, "/glue@0x30006000 > int1 irq-1 /mn103int");
      sim_hw_parse (sd, "/glue@0x30006000 > int2 irq-2 /mn103int");
      sim_hw_parse (sd, "/glue@0x30006000 > int2 irq-2 /mn103int");
      sim_hw_parse (sd, "/glue@0x30006000 > int3 irq-3 /mn103int");
      sim_hw_parse (sd, "/glue@0x30006000 > int3 irq-3 /mn103int");
      sim_hw_parse (sd, "/glue@0x30006000 > int4 irq-4 /mn103int");
      sim_hw_parse (sd, "/glue@0x30006000 > int4 irq-4 /mn103int");
      sim_hw_parse (sd, "/glue@0x30006000 > int5 irq-5 /mn103int");
      sim_hw_parse (sd, "/glue@0x30006000 > int5 irq-5 /mn103int");
      sim_hw_parse (sd, "/glue@0x30006000 > int6 irq-6 /mn103int");
      sim_hw_parse (sd, "/glue@0x30006000 > int6 irq-6 /mn103int");
      sim_hw_parse (sd, "/glue@0x30006000 > int7 irq-7 /mn103int");
      sim_hw_parse (sd, "/glue@0x30006000 > int7 irq-7 /mn103int");
 
 
      /* processor interrupt device */
      /* processor interrupt device */
 
 
      /* the device */
      /* the device */
      sim_hw_parse (sd, "/mn103cpu@0x20000000");
      sim_hw_parse (sd, "/mn103cpu@0x20000000");
      sim_hw_parse (sd, "/mn103cpu@0x20000000/reg 0x20000000 0x42");
      sim_hw_parse (sd, "/mn103cpu@0x20000000/reg 0x20000000 0x42");
 
 
      /* DEBUG: ACK output wired upto a glue device */
      /* DEBUG: ACK output wired upto a glue device */
      sim_hw_parse (sd, "/glue@0x20002000");
      sim_hw_parse (sd, "/glue@0x20002000");
      sim_hw_parse (sd, "/glue@0x20002000/reg 0x20002000 4");
      sim_hw_parse (sd, "/glue@0x20002000/reg 0x20002000 4");
      sim_hw_parse (sd, "/mn103cpu > ack int0 /glue@0x20002000");
      sim_hw_parse (sd, "/mn103cpu > ack int0 /glue@0x20002000");
 
 
      /* DEBUG: RESET/NMI/LEVEL wired up to a glue device */
      /* DEBUG: RESET/NMI/LEVEL wired up to a glue device */
      sim_hw_parse (sd, "/glue@0x20004000");
      sim_hw_parse (sd, "/glue@0x20004000");
      sim_hw_parse (sd, "/glue@0x20004000/reg 0x20004000 12");
      sim_hw_parse (sd, "/glue@0x20004000/reg 0x20004000 12");
      sim_hw_parse (sd, "/glue@0x20004000 > int0 reset /mn103cpu");
      sim_hw_parse (sd, "/glue@0x20004000 > int0 reset /mn103cpu");
      sim_hw_parse (sd, "/glue@0x20004000 > int1 nmi /mn103cpu");
      sim_hw_parse (sd, "/glue@0x20004000 > int1 nmi /mn103cpu");
      sim_hw_parse (sd, "/glue@0x20004000 > int2 level /mn103cpu");
      sim_hw_parse (sd, "/glue@0x20004000 > int2 level /mn103cpu");
 
 
      /* REAL: The processor wired up to the real interrupt controller */
      /* REAL: The processor wired up to the real interrupt controller */
      sim_hw_parse (sd, "/mn103cpu > ack ack /mn103int");
      sim_hw_parse (sd, "/mn103cpu > ack ack /mn103int");
      sim_hw_parse (sd, "/mn103int > level level /mn103cpu");
      sim_hw_parse (sd, "/mn103int > level level /mn103cpu");
      sim_hw_parse (sd, "/mn103int > nmi nmi /mn103cpu");
      sim_hw_parse (sd, "/mn103int > nmi nmi /mn103cpu");
 
 
 
 
      /* PAL */
      /* PAL */
 
 
      /* the device */
      /* the device */
      sim_hw_parse (sd, "/pal@0x31000000");
      sim_hw_parse (sd, "/pal@0x31000000");
      sim_hw_parse (sd, "/pal@0x31000000/reg 0x31000000 64");
      sim_hw_parse (sd, "/pal@0x31000000/reg 0x31000000 64");
      sim_hw_parse (sd, "/pal@0x31000000/poll? true");
      sim_hw_parse (sd, "/pal@0x31000000/poll? true");
 
 
      /* DEBUG: PAL wired up to a glue device */
      /* DEBUG: PAL wired up to a glue device */
      sim_hw_parse (sd, "/glue@0x31002000");
      sim_hw_parse (sd, "/glue@0x31002000");
      sim_hw_parse (sd, "/glue@0x31002000/reg 0x31002000 16");
      sim_hw_parse (sd, "/glue@0x31002000/reg 0x31002000 16");
      sim_hw_parse (sd, "/pal@0x31000000 > countdown int0 /glue@0x31002000");
      sim_hw_parse (sd, "/pal@0x31000000 > countdown int0 /glue@0x31002000");
      sim_hw_parse (sd, "/pal@0x31000000 > timer int1 /glue@0x31002000");
      sim_hw_parse (sd, "/pal@0x31000000 > timer int1 /glue@0x31002000");
      sim_hw_parse (sd, "/pal@0x31000000 > int int2 /glue@0x31002000");
      sim_hw_parse (sd, "/pal@0x31000000 > int int2 /glue@0x31002000");
      sim_hw_parse (sd, "/glue@0x31002000 > int0 int3 /glue@0x31002000");
      sim_hw_parse (sd, "/glue@0x31002000 > int0 int3 /glue@0x31002000");
      sim_hw_parse (sd, "/glue@0x31002000 > int1 int3 /glue@0x31002000");
      sim_hw_parse (sd, "/glue@0x31002000 > int1 int3 /glue@0x31002000");
      sim_hw_parse (sd, "/glue@0x31002000 > int2 int3 /glue@0x31002000");
      sim_hw_parse (sd, "/glue@0x31002000 > int2 int3 /glue@0x31002000");
 
 
      /* REAL: The PAL wired up to the real interrupt controller */
      /* REAL: The PAL wired up to the real interrupt controller */
      sim_hw_parse (sd, "/pal@0x31000000 > countdown irq-0 /mn103int");
      sim_hw_parse (sd, "/pal@0x31000000 > countdown irq-0 /mn103int");
      sim_hw_parse (sd, "/pal@0x31000000 > timer irq-1 /mn103int");
      sim_hw_parse (sd, "/pal@0x31000000 > timer irq-1 /mn103int");
      sim_hw_parse (sd, "/pal@0x31000000 > int irq-2 /mn103int");
      sim_hw_parse (sd, "/pal@0x31000000 > int irq-2 /mn103int");
 
 
      /* 8 and 16 bit timers */
      /* 8 and 16 bit timers */
      sim_hw_parse (sd, "/mn103tim@0x34001000/reg 0x34001000 36 0x34001080 100 0x34004000 16");
      sim_hw_parse (sd, "/mn103tim@0x34001000/reg 0x34001000 36 0x34001080 100 0x34004000 16");
 
 
      /* Hook timer interrupts up to interrupt controller */
      /* Hook timer interrupts up to interrupt controller */
      sim_hw_parse (sd, "/mn103tim > timer-0-underflow timer-0-underflow /mn103int");
      sim_hw_parse (sd, "/mn103tim > timer-0-underflow timer-0-underflow /mn103int");
      sim_hw_parse (sd, "/mn103tim > timer-1-underflow timer-1-underflow /mn103int");
      sim_hw_parse (sd, "/mn103tim > timer-1-underflow timer-1-underflow /mn103int");
      sim_hw_parse (sd, "/mn103tim > timer-2-underflow timer-2-underflow /mn103int");
      sim_hw_parse (sd, "/mn103tim > timer-2-underflow timer-2-underflow /mn103int");
      sim_hw_parse (sd, "/mn103tim > timer-3-underflow timer-3-underflow /mn103int");
      sim_hw_parse (sd, "/mn103tim > timer-3-underflow timer-3-underflow /mn103int");
      sim_hw_parse (sd, "/mn103tim > timer-4-underflow timer-4-underflow /mn103int");
      sim_hw_parse (sd, "/mn103tim > timer-4-underflow timer-4-underflow /mn103int");
      sim_hw_parse (sd, "/mn103tim > timer-5-underflow timer-5-underflow /mn103int");
      sim_hw_parse (sd, "/mn103tim > timer-5-underflow timer-5-underflow /mn103int");
      sim_hw_parse (sd, "/mn103tim > timer-6-underflow timer-6-underflow /mn103int");
      sim_hw_parse (sd, "/mn103tim > timer-6-underflow timer-6-underflow /mn103int");
      sim_hw_parse (sd, "/mn103tim > timer-6-compare-a timer-6-compare-a /mn103int");
      sim_hw_parse (sd, "/mn103tim > timer-6-compare-a timer-6-compare-a /mn103int");
      sim_hw_parse (sd, "/mn103tim > timer-6-compare-b timer-6-compare-b /mn103int");
      sim_hw_parse (sd, "/mn103tim > timer-6-compare-b timer-6-compare-b /mn103int");
 
 
 
 
      /* Serial devices 0,1,2 */
      /* Serial devices 0,1,2 */
      sim_hw_parse (sd, "/mn103ser@0x34000800/reg 0x34000800 48");
      sim_hw_parse (sd, "/mn103ser@0x34000800/reg 0x34000800 48");
      sim_hw_parse (sd, "/mn103ser@0x34000800/poll? true");
      sim_hw_parse (sd, "/mn103ser@0x34000800/poll? true");
 
 
      /* Hook serial interrupts up to interrupt controller */
      /* Hook serial interrupts up to interrupt controller */
      sim_hw_parse (sd, "/mn103ser > serial-0-receive serial-0-receive /mn103int");
      sim_hw_parse (sd, "/mn103ser > serial-0-receive serial-0-receive /mn103int");
      sim_hw_parse (sd, "/mn103ser > serial-0-transmit serial-0-transmit /mn103int");
      sim_hw_parse (sd, "/mn103ser > serial-0-transmit serial-0-transmit /mn103int");
      sim_hw_parse (sd, "/mn103ser > serial-1-receive serial-1-receive /mn103int");
      sim_hw_parse (sd, "/mn103ser > serial-1-receive serial-1-receive /mn103int");
      sim_hw_parse (sd, "/mn103ser > serial-1-transmit serial-1-transmit /mn103int");
      sim_hw_parse (sd, "/mn103ser > serial-1-transmit serial-1-transmit /mn103int");
      sim_hw_parse (sd, "/mn103ser > serial-2-receive serial-2-receive /mn103int");
      sim_hw_parse (sd, "/mn103ser > serial-2-receive serial-2-receive /mn103int");
      sim_hw_parse (sd, "/mn103ser > serial-2-transmit serial-2-transmit /mn103int");
      sim_hw_parse (sd, "/mn103ser > serial-2-transmit serial-2-transmit /mn103int");
 
 
      sim_hw_parse (sd, "/mn103iop@0x36008000/reg 0x36008000 8 0x36008020 8 0x36008040 0xc 0x36008060 8 0x36008080 8");
      sim_hw_parse (sd, "/mn103iop@0x36008000/reg 0x36008000 8 0x36008020 8 0x36008040 0xc 0x36008060 8 0x36008080 8");
 
 
      /* Memory control registers */
      /* Memory control registers */
      sim_do_command (sd, "memory region 0x32000020,0x30");
      sim_do_command (sd, "memory region 0x32000020,0x30");
      /* Cache control register */
      /* Cache control register */
      sim_do_command (sd, "memory region 0x20000070,0x4");
      sim_do_command (sd, "memory region 0x20000070,0x4");
      /* Cache purge regions */
      /* Cache purge regions */
      sim_do_command (sd, "memory region 0x28400000,0x800");
      sim_do_command (sd, "memory region 0x28400000,0x800");
      sim_do_command (sd, "memory region 0x28401000,0x800");
      sim_do_command (sd, "memory region 0x28401000,0x800");
      /* DMA registers */
      /* DMA registers */
      sim_do_command (sd, "memory region 0x32000100,0xF");
      sim_do_command (sd, "memory region 0x32000100,0xF");
      sim_do_command (sd, "memory region 0x32000200,0xF");
      sim_do_command (sd, "memory region 0x32000200,0xF");
      sim_do_command (sd, "memory region 0x32000400,0xF");
      sim_do_command (sd, "memory region 0x32000400,0xF");
      sim_do_command (sd, "memory region 0x32000800,0xF");
      sim_do_command (sd, "memory region 0x32000800,0xF");
    }
    }
  else
  else
    {
    {
      if (board != NULL)
      if (board != NULL)
        {
        {
          sim_io_eprintf (sd, "Error: Board `%s' unknown.\n", board);
          sim_io_eprintf (sd, "Error: Board `%s' unknown.\n", board);
          return 0;
          return 0;
        }
        }
    }
    }
 
 
 
 
 
 
  /* check for/establish the a reference program image */
  /* check for/establish the a reference program image */
  if (sim_analyze_program (sd,
  if (sim_analyze_program (sd,
                           (STATE_PROG_ARGV (sd) != NULL
                           (STATE_PROG_ARGV (sd) != NULL
                            ? *STATE_PROG_ARGV (sd)
                            ? *STATE_PROG_ARGV (sd)
                            : NULL),
                            : NULL),
                           abfd) != SIM_RC_OK)
                           abfd) != SIM_RC_OK)
    {
    {
      sim_module_uninstall (sd);
      sim_module_uninstall (sd);
      return 0;
      return 0;
    }
    }
 
 
  /* establish any remaining configuration options */
  /* establish any remaining configuration options */
  if (sim_config (sd) != SIM_RC_OK)
  if (sim_config (sd) != SIM_RC_OK)
    {
    {
      sim_module_uninstall (sd);
      sim_module_uninstall (sd);
      return 0;
      return 0;
    }
    }
 
 
  if (sim_post_argv_init (sd) != SIM_RC_OK)
  if (sim_post_argv_init (sd) != SIM_RC_OK)
    {
    {
      /* Uninstall the modules to avoid memory leaks,
      /* Uninstall the modules to avoid memory leaks,
         file descriptor leaks, etc.  */
         file descriptor leaks, etc.  */
      sim_module_uninstall (sd);
      sim_module_uninstall (sd);
      return 0;
      return 0;
    }
    }
 
 
 
 
  /* set machine specific configuration */
  /* set machine specific configuration */
/*   STATE_CPU (sd, 0)->psw_mask = (PSW_NP | PSW_EP | PSW_ID | PSW_SAT */
/*   STATE_CPU (sd, 0)->psw_mask = (PSW_NP | PSW_EP | PSW_ID | PSW_SAT */
/*                           | PSW_CY | PSW_OV | PSW_S | PSW_Z); */
/*                           | PSW_CY | PSW_OV | PSW_S | PSW_Z); */
 
 
  return sd;
  return sd;
}
}
 
 
 
 
void
void
sim_close (SIM_DESC sd, int quitting)
sim_close (SIM_DESC sd, int quitting)
{
{
  sim_module_uninstall (sd);
  sim_module_uninstall (sd);
}
}
 
 
 
 
SIM_RC
SIM_RC
sim_create_inferior (SIM_DESC sd,
sim_create_inferior (SIM_DESC sd,
                     struct bfd *prog_bfd,
                     struct bfd *prog_bfd,
                     char **argv,
                     char **argv,
                     char **env)
                     char **env)
{
{
  memset (&State, 0, sizeof (State));
  memset (&State, 0, sizeof (State));
  if (prog_bfd != NULL) {
  if (prog_bfd != NULL) {
    PC = bfd_get_start_address (prog_bfd);
    PC = bfd_get_start_address (prog_bfd);
  } else {
  } else {
    PC = 0;
    PC = 0;
  }
  }
  CIA_SET (STATE_CPU (sd, 0), (unsigned64) PC);
  CIA_SET (STATE_CPU (sd, 0), (unsigned64) PC);
 
 
  if (STATE_ARCHITECTURE (sd)->mach == bfd_mach_am33_2)
  if (STATE_ARCHITECTURE (sd)->mach == bfd_mach_am33_2)
    PSW |= PSW_FE;
    PSW |= PSW_FE;
 
 
  return SIM_RC_OK;
  return SIM_RC_OK;
}
}
 
 
void
void
sim_do_command (SIM_DESC sd, char *cmd)
sim_do_command (SIM_DESC sd, char *cmd)
{
{
  char *mm_cmd = "memory-map";
  char *mm_cmd = "memory-map";
  char *int_cmd = "interrupt";
  char *int_cmd = "interrupt";
 
 
  if (sim_args_command (sd, cmd) != SIM_RC_OK)
  if (sim_args_command (sd, cmd) != SIM_RC_OK)
    {
    {
      if (strncmp (cmd, mm_cmd, strlen (mm_cmd) == 0))
      if (strncmp (cmd, mm_cmd, strlen (mm_cmd) == 0))
        sim_io_eprintf (sd, "`memory-map' command replaced by `sim memory'\n");
        sim_io_eprintf (sd, "`memory-map' command replaced by `sim memory'\n");
      else if (strncmp (cmd, int_cmd, strlen (int_cmd)) == 0)
      else if (strncmp (cmd, int_cmd, strlen (int_cmd)) == 0)
        sim_io_eprintf (sd, "`interrupt' command replaced by `sim watch'\n");
        sim_io_eprintf (sd, "`interrupt' command replaced by `sim watch'\n");
      else
      else
        sim_io_eprintf (sd, "Unknown command `%s'\n", cmd);
        sim_io_eprintf (sd, "Unknown command `%s'\n", cmd);
    }
    }
}
}
 
 
/* FIXME These would more efficient to use than load_mem/store_mem,
/* FIXME These would more efficient to use than load_mem/store_mem,
   but need to be changed to use the memory map.  */
   but need to be changed to use the memory map.  */
 
 
uint8
uint8
get_byte (uint8 *x)
get_byte (uint8 *x)
{
{
  return *x;
  return *x;
}
}
 
 
uint16
uint16
get_half (uint8 *x)
get_half (uint8 *x)
{
{
  uint8 *a = x;
  uint8 *a = x;
  return (a[1] << 8) + (a[0]);
  return (a[1] << 8) + (a[0]);
}
}
 
 
uint32
uint32
get_word (uint8 *x)
get_word (uint8 *x)
{
{
  uint8 *a = x;
  uint8 *a = x;
  return (a[3]<<24) + (a[2]<<16) + (a[1]<<8) + (a[0]);
  return (a[3]<<24) + (a[2]<<16) + (a[1]<<8) + (a[0]);
}
}
 
 
void
void
put_byte (uint8 *addr, uint8 data)
put_byte (uint8 *addr, uint8 data)
{
{
  uint8 *a = addr;
  uint8 *a = addr;
  a[0] = data;
  a[0] = data;
}
}
 
 
void
void
put_half (uint8 *addr, uint16 data)
put_half (uint8 *addr, uint16 data)
{
{
  uint8 *a = addr;
  uint8 *a = addr;
  a[0] = data & 0xff;
  a[0] = data & 0xff;
  a[1] = (data >> 8) & 0xff;
  a[1] = (data >> 8) & 0xff;
}
}
 
 
void
void
put_word (uint8 *addr, uint32 data)
put_word (uint8 *addr, uint32 data)
{
{
  uint8 *a = addr;
  uint8 *a = addr;
  a[0] = data & 0xff;
  a[0] = data & 0xff;
  a[1] = (data >> 8) & 0xff;
  a[1] = (data >> 8) & 0xff;
  a[2] = (data >> 16) & 0xff;
  a[2] = (data >> 16) & 0xff;
  a[3] = (data >> 24) & 0xff;
  a[3] = (data >> 24) & 0xff;
}
}
 
 
int
int
sim_fetch_register (SIM_DESC sd,
sim_fetch_register (SIM_DESC sd,
                    int rn,
                    int rn,
                    unsigned char *memory,
                    unsigned char *memory,
                    int length)
                    int length)
{
{
  put_word (memory, State.regs[rn]);
  put_word (memory, State.regs[rn]);
  return -1;
  return -1;
}
}
 
 
int
int
sim_store_register (SIM_DESC sd,
sim_store_register (SIM_DESC sd,
                    int rn,
                    int rn,
                    unsigned char *memory,
                    unsigned char *memory,
                    int length)
                    int length)
{
{
  State.regs[rn] = get_word (memory);
  State.regs[rn] = get_word (memory);
  return -1;
  return -1;
}
}
 
 
 
 
void
void
mn10300_core_signal (SIM_DESC sd,
mn10300_core_signal (SIM_DESC sd,
                     sim_cpu *cpu,
                     sim_cpu *cpu,
                     sim_cia cia,
                     sim_cia cia,
                     unsigned map,
                     unsigned map,
                     int nr_bytes,
                     int nr_bytes,
                     address_word addr,
                     address_word addr,
                     transfer_type transfer,
                     transfer_type transfer,
                     sim_core_signals sig)
                     sim_core_signals sig)
{
{
  const char *copy = (transfer == read_transfer ? "read" : "write");
  const char *copy = (transfer == read_transfer ? "read" : "write");
  address_word ip = CIA_ADDR (cia);
  address_word ip = CIA_ADDR (cia);
 
 
  switch (sig)
  switch (sig)
    {
    {
    case sim_core_unmapped_signal:
    case sim_core_unmapped_signal:
      sim_io_eprintf (sd, "mn10300-core: %d byte %s to unmapped address 0x%lx at 0x%lx\n",
      sim_io_eprintf (sd, "mn10300-core: %d byte %s to unmapped address 0x%lx at 0x%lx\n",
                      nr_bytes, copy,
                      nr_bytes, copy,
                      (unsigned long) addr, (unsigned long) ip);
                      (unsigned long) addr, (unsigned long) ip);
      program_interrupt(sd, cpu, cia, SIM_SIGSEGV);
      program_interrupt(sd, cpu, cia, SIM_SIGSEGV);
      break;
      break;
 
 
    case sim_core_unaligned_signal:
    case sim_core_unaligned_signal:
      sim_io_eprintf (sd, "mn10300-core: %d byte %s to unaligned address 0x%lx at 0x%lx\n",
      sim_io_eprintf (sd, "mn10300-core: %d byte %s to unaligned address 0x%lx at 0x%lx\n",
                      nr_bytes, copy,
                      nr_bytes, copy,
                      (unsigned long) addr, (unsigned long) ip);
                      (unsigned long) addr, (unsigned long) ip);
      program_interrupt(sd, cpu, cia, SIM_SIGBUS);
      program_interrupt(sd, cpu, cia, SIM_SIGBUS);
      break;
      break;
 
 
    default:
    default:
      sim_engine_abort (sd, cpu, cia,
      sim_engine_abort (sd, cpu, cia,
                        "mn10300_core_signal - internal error - bad switch");
                        "mn10300_core_signal - internal error - bad switch");
    }
    }
}
}
 
 
 
 
void
void
program_interrupt (SIM_DESC sd,
program_interrupt (SIM_DESC sd,
                   sim_cpu *cpu,
                   sim_cpu *cpu,
                   sim_cia cia,
                   sim_cia cia,
                   SIM_SIGNAL sig)
                   SIM_SIGNAL sig)
{
{
  int status;
  int status;
  struct hw *device;
  struct hw *device;
  static int in_interrupt = 0;
  static int in_interrupt = 0;
 
 
#ifdef SIM_CPU_EXCEPTION_TRIGGER
#ifdef SIM_CPU_EXCEPTION_TRIGGER
  SIM_CPU_EXCEPTION_TRIGGER(sd,cpu,cia);
  SIM_CPU_EXCEPTION_TRIGGER(sd,cpu,cia);
#endif
#endif
 
 
  /* avoid infinite recursion */
  /* avoid infinite recursion */
  if (in_interrupt)
  if (in_interrupt)
    {
    {
      (*mn10300_callback->printf_filtered) (mn10300_callback,
      (*mn10300_callback->printf_filtered) (mn10300_callback,
                                            "ERROR: recursion in program_interrupt during software exception dispatch.");
                                            "ERROR: recursion in program_interrupt during software exception dispatch.");
    }
    }
  else
  else
    {
    {
      in_interrupt = 1;
      in_interrupt = 1;
      /* copy NMI handler code from dv-mn103cpu.c */
      /* copy NMI handler code from dv-mn103cpu.c */
      store_word (SP - 4, CIA_GET (cpu));
      store_word (SP - 4, CIA_GET (cpu));
      store_half (SP - 8, PSW);
      store_half (SP - 8, PSW);
 
 
      /* Set the SYSEF flag in NMICR by backdoor method.  See
      /* Set the SYSEF flag in NMICR by backdoor method.  See
         dv-mn103int.c:write_icr().  This is necessary because
         dv-mn103int.c:write_icr().  This is necessary because
         software exceptions are not modelled by actually talking to
         software exceptions are not modelled by actually talking to
         the interrupt controller, so it cannot set its own SYSEF
         the interrupt controller, so it cannot set its own SYSEF
         flag. */
         flag. */
     if ((NULL != board) && (strcmp(board, BOARD_AM32) == 0))
     if ((NULL != board) && (strcmp(board, BOARD_AM32) == 0))
       store_byte (0x34000103, 0x04);
       store_byte (0x34000103, 0x04);
    }
    }
 
 
  PSW &= ~PSW_IE;
  PSW &= ~PSW_IE;
  SP = SP - 8;
  SP = SP - 8;
  CIA_SET (cpu, 0x40000008);
  CIA_SET (cpu, 0x40000008);
 
 
  in_interrupt = 0;
  in_interrupt = 0;
  sim_engine_halt(sd, cpu, NULL, cia, sim_stopped, sig);
  sim_engine_halt(sd, cpu, NULL, cia, sim_stopped, sig);
}
}
 
 
 
 
void
void
mn10300_cpu_exception_trigger(SIM_DESC sd, sim_cpu* cpu, address_word cia)
mn10300_cpu_exception_trigger(SIM_DESC sd, sim_cpu* cpu, address_word cia)
{
{
  ASSERT(cpu != NULL);
  ASSERT(cpu != NULL);
 
 
  if(State.exc_suspended > 0)
  if(State.exc_suspended > 0)
    sim_io_eprintf(sd, "Warning, nested exception triggered (%d)\n", State.exc_suspended);
    sim_io_eprintf(sd, "Warning, nested exception triggered (%d)\n", State.exc_suspended);
 
 
  CIA_SET (cpu, cia);
  CIA_SET (cpu, cia);
  memcpy(State.exc_trigger_regs, State.regs, sizeof(State.exc_trigger_regs));
  memcpy(State.exc_trigger_regs, State.regs, sizeof(State.exc_trigger_regs));
  State.exc_suspended = 0;
  State.exc_suspended = 0;
}
}
 
 
void
void
mn10300_cpu_exception_suspend(SIM_DESC sd, sim_cpu* cpu, int exception)
mn10300_cpu_exception_suspend(SIM_DESC sd, sim_cpu* cpu, int exception)
{
{
  ASSERT(cpu != NULL);
  ASSERT(cpu != NULL);
 
 
  if(State.exc_suspended > 0)
  if(State.exc_suspended > 0)
    sim_io_eprintf(sd, "Warning, nested exception signal (%d then %d)\n",
    sim_io_eprintf(sd, "Warning, nested exception signal (%d then %d)\n",
                   State.exc_suspended, exception);
                   State.exc_suspended, exception);
 
 
  memcpy(State.exc_suspend_regs, State.regs, sizeof(State.exc_suspend_regs));
  memcpy(State.exc_suspend_regs, State.regs, sizeof(State.exc_suspend_regs));
  memcpy(State.regs, State.exc_trigger_regs, sizeof(State.regs));
  memcpy(State.regs, State.exc_trigger_regs, sizeof(State.regs));
  CIA_SET (cpu, PC); /* copy PC back from new State.regs */
  CIA_SET (cpu, PC); /* copy PC back from new State.regs */
  State.exc_suspended = exception;
  State.exc_suspended = exception;
}
}
 
 
void
void
mn10300_cpu_exception_resume(SIM_DESC sd, sim_cpu* cpu, int exception)
mn10300_cpu_exception_resume(SIM_DESC sd, sim_cpu* cpu, int exception)
{
{
  ASSERT(cpu != NULL);
  ASSERT(cpu != NULL);
 
 
  if(exception == 0 && State.exc_suspended > 0)
  if(exception == 0 && State.exc_suspended > 0)
    {
    {
      if(State.exc_suspended != SIGTRAP) /* warn not for breakpoints */
      if(State.exc_suspended != SIGTRAP) /* warn not for breakpoints */
         sim_io_eprintf(sd, "Warning, resuming but ignoring pending exception signal (%d)\n",
         sim_io_eprintf(sd, "Warning, resuming but ignoring pending exception signal (%d)\n",
                       State.exc_suspended);
                       State.exc_suspended);
    }
    }
  else if(exception != 0 && State.exc_suspended > 0)
  else if(exception != 0 && State.exc_suspended > 0)
    {
    {
      if(exception != State.exc_suspended)
      if(exception != State.exc_suspended)
        sim_io_eprintf(sd, "Warning, resuming with mismatched exception signal (%d vs %d)\n",
        sim_io_eprintf(sd, "Warning, resuming with mismatched exception signal (%d vs %d)\n",
                       State.exc_suspended, exception);
                       State.exc_suspended, exception);
 
 
      memcpy(State.regs, State.exc_suspend_regs, sizeof(State.regs));
      memcpy(State.regs, State.exc_suspend_regs, sizeof(State.regs));
      CIA_SET (cpu, PC); /* copy PC back from new State.regs */
      CIA_SET (cpu, PC); /* copy PC back from new State.regs */
    }
    }
  else if(exception != 0 && State.exc_suspended == 0)
  else if(exception != 0 && State.exc_suspended == 0)
    {
    {
      sim_io_eprintf(sd, "Warning, ignoring spontanous exception signal (%d)\n", exception);
      sim_io_eprintf(sd, "Warning, ignoring spontanous exception signal (%d)\n", exception);
    }
    }
  State.exc_suspended = 0;
  State.exc_suspended = 0;
}
}
 
 
/* This is called when an FP instruction is issued when the FP unit is
/* This is called when an FP instruction is issued when the FP unit is
   disabled, i.e., the FE bit of PSW is zero.  It raises interrupt
   disabled, i.e., the FE bit of PSW is zero.  It raises interrupt
   code 0x1c0.  */
   code 0x1c0.  */
void
void
fpu_disabled_exception (SIM_DESC sd, sim_cpu *cpu, sim_cia cia)
fpu_disabled_exception (SIM_DESC sd, sim_cpu *cpu, sim_cia cia)
{
{
  sim_io_eprintf(sd, "FPU disabled exception\n");
  sim_io_eprintf(sd, "FPU disabled exception\n");
  program_interrupt (sd, cpu, cia, SIM_SIGFPE);
  program_interrupt (sd, cpu, cia, SIM_SIGFPE);
}
}
 
 
/* This is called when the FP unit is enabled but one of the
/* This is called when the FP unit is enabled but one of the
   unimplemented insns is issued.  It raises interrupt code 0x1c8.  */
   unimplemented insns is issued.  It raises interrupt code 0x1c8.  */
void
void
fpu_unimp_exception (SIM_DESC sd, sim_cpu *cpu, sim_cia cia)
fpu_unimp_exception (SIM_DESC sd, sim_cpu *cpu, sim_cia cia)
{
{
  sim_io_eprintf(sd, "Unimplemented FPU instruction exception\n");
  sim_io_eprintf(sd, "Unimplemented FPU instruction exception\n");
  program_interrupt (sd, cpu, cia, SIM_SIGFPE);
  program_interrupt (sd, cpu, cia, SIM_SIGFPE);
}
}
 
 
/* This is called at the end of any FP insns that may have triggered
/* This is called at the end of any FP insns that may have triggered
   FP exceptions.  If no exception is enabled, it returns immediately.
   FP exceptions.  If no exception is enabled, it returns immediately.
   Otherwise, it raises an exception code 0x1d0.  */
   Otherwise, it raises an exception code 0x1d0.  */
void
void
fpu_check_signal_exception (SIM_DESC sd, sim_cpu *cpu, sim_cia cia)
fpu_check_signal_exception (SIM_DESC sd, sim_cpu *cpu, sim_cia cia)
{
{
  if ((FPCR & EC_MASK) == 0)
  if ((FPCR & EC_MASK) == 0)
    return;
    return;
 
 
  sim_io_eprintf(sd, "FPU %s%s%s%s%s exception\n",
  sim_io_eprintf(sd, "FPU %s%s%s%s%s exception\n",
                 (FPCR & EC_V) ? "V" : "",
                 (FPCR & EC_V) ? "V" : "",
                 (FPCR & EC_Z) ? "Z" : "",
                 (FPCR & EC_Z) ? "Z" : "",
                 (FPCR & EC_O) ? "O" : "",
                 (FPCR & EC_O) ? "O" : "",
                 (FPCR & EC_U) ? "U" : "",
                 (FPCR & EC_U) ? "U" : "",
                 (FPCR & EC_I) ? "I" : "");
                 (FPCR & EC_I) ? "I" : "");
  program_interrupt (sd, cpu, cia, SIM_SIGFPE);
  program_interrupt (sd, cpu, cia, SIM_SIGFPE);
}
}
 
 
/* Convert a 32-bit single-precision FP value in the target platform
/* Convert a 32-bit single-precision FP value in the target platform
   format to a sim_fpu value.  */
   format to a sim_fpu value.  */
static void
static void
reg2val_32 (const void *reg, sim_fpu *val)
reg2val_32 (const void *reg, sim_fpu *val)
{
{
  FS2FPU (*(reg_t *)reg, *val);
  FS2FPU (*(reg_t *)reg, *val);
}
}
 
 
/* Round the given sim_fpu value to single precision, following the
/* Round the given sim_fpu value to single precision, following the
   target platform rounding and denormalization conventions.  On
   target platform rounding and denormalization conventions.  On
   AM33/2.0, round_near is the only rounding mode.  */
   AM33/2.0, round_near is the only rounding mode.  */
static int
static int
round_32 (sim_fpu *val)
round_32 (sim_fpu *val)
{
{
  return sim_fpu_round_32 (val, sim_fpu_round_near, sim_fpu_denorm_zero);
  return sim_fpu_round_32 (val, sim_fpu_round_near, sim_fpu_denorm_zero);
}
}
 
 
/* Convert a sim_fpu value to the 32-bit single-precision target
/* Convert a sim_fpu value to the 32-bit single-precision target
   representation.  */
   representation.  */
static void
static void
val2reg_32 (const sim_fpu *val, void *reg)
val2reg_32 (const sim_fpu *val, void *reg)
{
{
  FPU2FS (*val, *(reg_t *)reg);
  FPU2FS (*val, *(reg_t *)reg);
}
}
 
 
/* Define the 32-bit single-precision conversion and rounding uniform
/* Define the 32-bit single-precision conversion and rounding uniform
   interface.  */
   interface.  */
const struct fp_prec_t
const struct fp_prec_t
fp_single_prec = {
fp_single_prec = {
  reg2val_32, round_32, val2reg_32
  reg2val_32, round_32, val2reg_32
};
};
 
 
/* Convert a 64-bit double-precision FP value in the target platform
/* Convert a 64-bit double-precision FP value in the target platform
   format to a sim_fpu value.  */
   format to a sim_fpu value.  */
static void
static void
reg2val_64 (const void *reg, sim_fpu *val)
reg2val_64 (const void *reg, sim_fpu *val)
{
{
  FD2FPU (*(dword *)reg, *val);
  FD2FPU (*(dword *)reg, *val);
}
}
 
 
/* Round the given sim_fpu value to double precision, following the
/* Round the given sim_fpu value to double precision, following the
   target platform rounding and denormalization conventions.  On
   target platform rounding and denormalization conventions.  On
   AM33/2.0, round_near is the only rounding mode.  */
   AM33/2.0, round_near is the only rounding mode.  */
int
int
round_64 (sim_fpu *val)
round_64 (sim_fpu *val)
{
{
  return sim_fpu_round_64 (val, sim_fpu_round_near, sim_fpu_denorm_zero);
  return sim_fpu_round_64 (val, sim_fpu_round_near, sim_fpu_denorm_zero);
}
}
 
 
/* Convert a sim_fpu value to the 64-bit double-precision target
/* Convert a sim_fpu value to the 64-bit double-precision target
   representation.  */
   representation.  */
static void
static void
val2reg_64 (const sim_fpu *val, void *reg)
val2reg_64 (const sim_fpu *val, void *reg)
{
{
  FPU2FD (*val, *(dword *)reg);
  FPU2FD (*val, *(dword *)reg);
}
}
 
 
/* Define the 64-bit single-precision conversion and rounding uniform
/* Define the 64-bit single-precision conversion and rounding uniform
   interface.  */
   interface.  */
const struct fp_prec_t
const struct fp_prec_t
fp_double_prec = {
fp_double_prec = {
  reg2val_64, round_64, val2reg_64
  reg2val_64, round_64, val2reg_64
};
};
 
 
/* Define shortcuts to the uniform interface operations.  */
/* Define shortcuts to the uniform interface operations.  */
#define REG2VAL(reg,val) (*ops->reg2val) (reg,val)
#define REG2VAL(reg,val) (*ops->reg2val) (reg,val)
#define ROUND(val) (*ops->round) (val)
#define ROUND(val) (*ops->round) (val)
#define VAL2REG(val,reg) (*ops->val2reg) (val,reg)
#define VAL2REG(val,reg) (*ops->val2reg) (val,reg)
 
 
/* Check whether overflow, underflow or inexact exceptions should be
/* Check whether overflow, underflow or inexact exceptions should be
   raised.  */
   raised.  */
int
int
fpu_status_ok (sim_fpu_status stat)
fpu_status_ok (sim_fpu_status stat)
{
{
  if ((stat & sim_fpu_status_overflow)
  if ((stat & sim_fpu_status_overflow)
      && (FPCR & EE_O))
      && (FPCR & EE_O))
    FPCR |= EC_O;
    FPCR |= EC_O;
  else if ((stat & (sim_fpu_status_underflow | sim_fpu_status_denorm))
  else if ((stat & (sim_fpu_status_underflow | sim_fpu_status_denorm))
           && (FPCR & EE_U))
           && (FPCR & EE_U))
    FPCR |= EC_U;
    FPCR |= EC_U;
  else if ((stat & (sim_fpu_status_inexact | sim_fpu_status_rounded))
  else if ((stat & (sim_fpu_status_inexact | sim_fpu_status_rounded))
           && (FPCR & EE_I))
           && (FPCR & EE_I))
    FPCR |= EC_I;
    FPCR |= EC_I;
  else if (stat & ~ (sim_fpu_status_overflow
  else if (stat & ~ (sim_fpu_status_overflow
                     | sim_fpu_status_underflow
                     | sim_fpu_status_underflow
                     | sim_fpu_status_denorm
                     | sim_fpu_status_denorm
                     | sim_fpu_status_inexact
                     | sim_fpu_status_inexact
                     | sim_fpu_status_rounded))
                     | sim_fpu_status_rounded))
    abort ();
    abort ();
  else
  else
    return 1;
    return 1;
  return 0;
  return 0;
}
}
 
 
/* Implement a 32/64 bit reciprocal square root, signaling FP
/* Implement a 32/64 bit reciprocal square root, signaling FP
   exceptions when appropriate.  */
   exceptions when appropriate.  */
void
void
fpu_rsqrt (SIM_DESC sd, sim_cpu *cpu, sim_cia cia,
fpu_rsqrt (SIM_DESC sd, sim_cpu *cpu, sim_cia cia,
           const void *reg_in, void *reg_out, const struct fp_prec_t *ops)
           const void *reg_in, void *reg_out, const struct fp_prec_t *ops)
{
{
  sim_fpu in, med, out;
  sim_fpu in, med, out;
 
 
  REG2VAL (reg_in, &in);
  REG2VAL (reg_in, &in);
  ROUND (&in);
  ROUND (&in);
  FPCR &= ~ EC_MASK;
  FPCR &= ~ EC_MASK;
  switch (sim_fpu_is (&in))
  switch (sim_fpu_is (&in))
    {
    {
    case SIM_FPU_IS_SNAN:
    case SIM_FPU_IS_SNAN:
    case SIM_FPU_IS_NNUMBER:
    case SIM_FPU_IS_NNUMBER:
    case SIM_FPU_IS_NINF:
    case SIM_FPU_IS_NINF:
      if (FPCR & EE_V)
      if (FPCR & EE_V)
        FPCR |= EC_V;
        FPCR |= EC_V;
      else
      else
        VAL2REG (&sim_fpu_qnan, reg_out);
        VAL2REG (&sim_fpu_qnan, reg_out);
      break;
      break;
 
 
    case SIM_FPU_IS_QNAN:
    case SIM_FPU_IS_QNAN:
      VAL2REG (&sim_fpu_qnan, reg_out);
      VAL2REG (&sim_fpu_qnan, reg_out);
      break;
      break;
 
 
    case SIM_FPU_IS_PINF:
    case SIM_FPU_IS_PINF:
      VAL2REG (&sim_fpu_zero, reg_out);
      VAL2REG (&sim_fpu_zero, reg_out);
      break;
      break;
 
 
    case SIM_FPU_IS_PNUMBER:
    case SIM_FPU_IS_PNUMBER:
      {
      {
        /* Since we don't have a function to compute rsqrt directly,
        /* Since we don't have a function to compute rsqrt directly,
           use sqrt and inv.  */
           use sqrt and inv.  */
        sim_fpu_status stat = 0;
        sim_fpu_status stat = 0;
        stat |= sim_fpu_sqrt (&med, &in);
        stat |= sim_fpu_sqrt (&med, &in);
        stat |= sim_fpu_inv (&out, &med);
        stat |= sim_fpu_inv (&out, &med);
        stat |= ROUND (&out);
        stat |= ROUND (&out);
        if (fpu_status_ok (stat))
        if (fpu_status_ok (stat))
          VAL2REG (&out, reg_out);
          VAL2REG (&out, reg_out);
      }
      }
      break;
      break;
 
 
    case SIM_FPU_IS_NZERO:
    case SIM_FPU_IS_NZERO:
    case SIM_FPU_IS_PZERO:
    case SIM_FPU_IS_PZERO:
      if (FPCR & EE_Z)
      if (FPCR & EE_Z)
        FPCR |= EC_Z;
        FPCR |= EC_Z;
      else
      else
        {
        {
          /* Generate an INF with the same sign.  */
          /* Generate an INF with the same sign.  */
          sim_fpu_inv (&out, &in);
          sim_fpu_inv (&out, &in);
          VAL2REG (&out, reg_out);
          VAL2REG (&out, reg_out);
        }
        }
      break;
      break;
 
 
    default:
    default:
      abort ();
      abort ();
    }
    }
 
 
  fpu_check_signal_exception (sd, cpu, cia);
  fpu_check_signal_exception (sd, cpu, cia);
}
}
 
 
static inline reg_t
static inline reg_t
cmp2fcc (int res)
cmp2fcc (int res)
{
{
  switch (res)
  switch (res)
    {
    {
    case SIM_FPU_IS_SNAN:
    case SIM_FPU_IS_SNAN:
    case SIM_FPU_IS_QNAN:
    case SIM_FPU_IS_QNAN:
      return FCC_U;
      return FCC_U;
 
 
    case SIM_FPU_IS_NINF:
    case SIM_FPU_IS_NINF:
    case SIM_FPU_IS_NNUMBER:
    case SIM_FPU_IS_NNUMBER:
    case SIM_FPU_IS_NDENORM:
    case SIM_FPU_IS_NDENORM:
      return FCC_L;
      return FCC_L;
 
 
    case SIM_FPU_IS_PINF:
    case SIM_FPU_IS_PINF:
    case SIM_FPU_IS_PNUMBER:
    case SIM_FPU_IS_PNUMBER:
    case SIM_FPU_IS_PDENORM:
    case SIM_FPU_IS_PDENORM:
      return FCC_G;
      return FCC_G;
 
 
    case SIM_FPU_IS_NZERO:
    case SIM_FPU_IS_NZERO:
    case SIM_FPU_IS_PZERO:
    case SIM_FPU_IS_PZERO:
      return FCC_E;
      return FCC_E;
 
 
    default:
    default:
      abort ();
      abort ();
    }
    }
}
}
 
 
/* Implement a 32/64 bit FP compare, setting the FPCR status and/or
/* Implement a 32/64 bit FP compare, setting the FPCR status and/or
   exception bits as specified.  */
   exception bits as specified.  */
void
void
fpu_cmp (SIM_DESC sd, sim_cpu *cpu, sim_cia cia,
fpu_cmp (SIM_DESC sd, sim_cpu *cpu, sim_cia cia,
         const void *reg_in1, const void *reg_in2,
         const void *reg_in1, const void *reg_in2,
         const struct fp_prec_t *ops)
         const struct fp_prec_t *ops)
{
{
  sim_fpu m, n;
  sim_fpu m, n;
 
 
  REG2VAL (reg_in1, &m);
  REG2VAL (reg_in1, &m);
  REG2VAL (reg_in2, &n);
  REG2VAL (reg_in2, &n);
  FPCR &= ~ EC_MASK;
  FPCR &= ~ EC_MASK;
  FPCR &= ~ FCC_MASK;
  FPCR &= ~ FCC_MASK;
  ROUND (&m);
  ROUND (&m);
  ROUND (&n);
  ROUND (&n);
  if (sim_fpu_is_snan (&m) || sim_fpu_is_snan (&n))
  if (sim_fpu_is_snan (&m) || sim_fpu_is_snan (&n))
    {
    {
      if (FPCR & EE_V)
      if (FPCR & EE_V)
        FPCR |= EC_V;
        FPCR |= EC_V;
      else
      else
        FPCR |= FCC_U;
        FPCR |= FCC_U;
    }
    }
  else
  else
    FPCR |= cmp2fcc (sim_fpu_cmp (&m, &n));
    FPCR |= cmp2fcc (sim_fpu_cmp (&m, &n));
 
 
  fpu_check_signal_exception (sd, cpu, cia);
  fpu_check_signal_exception (sd, cpu, cia);
}
}
 
 
/* Implement a 32/64 bit FP add, setting FP exception bits when
/* Implement a 32/64 bit FP add, setting FP exception bits when
   appropriate.  */
   appropriate.  */
void
void
fpu_add (SIM_DESC sd, sim_cpu *cpu, sim_cia cia,
fpu_add (SIM_DESC sd, sim_cpu *cpu, sim_cia cia,
         const void *reg_in1, const void *reg_in2,
         const void *reg_in1, const void *reg_in2,
         void *reg_out, const struct fp_prec_t *ops)
         void *reg_out, const struct fp_prec_t *ops)
{
{
  sim_fpu m, n, r;
  sim_fpu m, n, r;
 
 
  REG2VAL (reg_in1, &m);
  REG2VAL (reg_in1, &m);
  REG2VAL (reg_in2, &n);
  REG2VAL (reg_in2, &n);
  ROUND (&m);
  ROUND (&m);
  ROUND (&n);
  ROUND (&n);
  FPCR &= ~ EC_MASK;
  FPCR &= ~ EC_MASK;
  if (sim_fpu_is_snan (&m) || sim_fpu_is_snan (&n)
  if (sim_fpu_is_snan (&m) || sim_fpu_is_snan (&n)
      || (sim_fpu_is (&m) == SIM_FPU_IS_PINF
      || (sim_fpu_is (&m) == SIM_FPU_IS_PINF
          && sim_fpu_is (&n) == SIM_FPU_IS_NINF)
          && sim_fpu_is (&n) == SIM_FPU_IS_NINF)
      || (sim_fpu_is (&m) == SIM_FPU_IS_NINF
      || (sim_fpu_is (&m) == SIM_FPU_IS_NINF
          && sim_fpu_is (&n) == SIM_FPU_IS_PINF))
          && sim_fpu_is (&n) == SIM_FPU_IS_PINF))
    {
    {
      if (FPCR & EE_V)
      if (FPCR & EE_V)
        FPCR |= EC_V;
        FPCR |= EC_V;
      else
      else
        VAL2REG (&sim_fpu_qnan, reg_out);
        VAL2REG (&sim_fpu_qnan, reg_out);
    }
    }
  else
  else
    {
    {
      sim_fpu_status stat = sim_fpu_add (&r, &m, &n);
      sim_fpu_status stat = sim_fpu_add (&r, &m, &n);
      stat |= ROUND (&r);
      stat |= ROUND (&r);
      if (fpu_status_ok (stat))
      if (fpu_status_ok (stat))
        VAL2REG (&r, reg_out);
        VAL2REG (&r, reg_out);
    }
    }
 
 
  fpu_check_signal_exception (sd, cpu, cia);
  fpu_check_signal_exception (sd, cpu, cia);
}
}
 
 
/* Implement a 32/64 bit FP sub, setting FP exception bits when
/* Implement a 32/64 bit FP sub, setting FP exception bits when
   appropriate.  */
   appropriate.  */
void
void
fpu_sub (SIM_DESC sd, sim_cpu *cpu, sim_cia cia,
fpu_sub (SIM_DESC sd, sim_cpu *cpu, sim_cia cia,
         const void *reg_in1, const void *reg_in2,
         const void *reg_in1, const void *reg_in2,
         void *reg_out, const struct fp_prec_t *ops)
         void *reg_out, const struct fp_prec_t *ops)
{
{
  sim_fpu m, n, r;
  sim_fpu m, n, r;
 
 
  REG2VAL (reg_in1, &m);
  REG2VAL (reg_in1, &m);
  REG2VAL (reg_in2, &n);
  REG2VAL (reg_in2, &n);
  ROUND (&m);
  ROUND (&m);
  ROUND (&n);
  ROUND (&n);
  FPCR &= ~ EC_MASK;
  FPCR &= ~ EC_MASK;
  if (sim_fpu_is_snan (&m) || sim_fpu_is_snan (&n)
  if (sim_fpu_is_snan (&m) || sim_fpu_is_snan (&n)
      || (sim_fpu_is (&m) == SIM_FPU_IS_PINF
      || (sim_fpu_is (&m) == SIM_FPU_IS_PINF
          && sim_fpu_is (&n) == SIM_FPU_IS_PINF)
          && sim_fpu_is (&n) == SIM_FPU_IS_PINF)
      || (sim_fpu_is (&m) == SIM_FPU_IS_NINF
      || (sim_fpu_is (&m) == SIM_FPU_IS_NINF
          && sim_fpu_is (&n) == SIM_FPU_IS_NINF))
          && sim_fpu_is (&n) == SIM_FPU_IS_NINF))
    {
    {
      if (FPCR & EE_V)
      if (FPCR & EE_V)
        FPCR |= EC_V;
        FPCR |= EC_V;
      else
      else
        VAL2REG (&sim_fpu_qnan, reg_out);
        VAL2REG (&sim_fpu_qnan, reg_out);
    }
    }
  else
  else
    {
    {
      sim_fpu_status stat = sim_fpu_sub (&r, &m, &n);
      sim_fpu_status stat = sim_fpu_sub (&r, &m, &n);
      stat |= ROUND (&r);
      stat |= ROUND (&r);
      if (fpu_status_ok (stat))
      if (fpu_status_ok (stat))
        VAL2REG (&r, reg_out);
        VAL2REG (&r, reg_out);
    }
    }
 
 
  fpu_check_signal_exception (sd, cpu, cia);
  fpu_check_signal_exception (sd, cpu, cia);
}
}
 
 
/* Implement a 32/64 bit FP mul, setting FP exception bits when
/* Implement a 32/64 bit FP mul, setting FP exception bits when
   appropriate.  */
   appropriate.  */
void
void
fpu_mul (SIM_DESC sd, sim_cpu *cpu, sim_cia cia,
fpu_mul (SIM_DESC sd, sim_cpu *cpu, sim_cia cia,
         const void *reg_in1, const void *reg_in2,
         const void *reg_in1, const void *reg_in2,
         void *reg_out, const struct fp_prec_t *ops)
         void *reg_out, const struct fp_prec_t *ops)
{
{
  sim_fpu m, n, r;
  sim_fpu m, n, r;
 
 
  REG2VAL (reg_in1, &m);
  REG2VAL (reg_in1, &m);
  REG2VAL (reg_in2, &n);
  REG2VAL (reg_in2, &n);
  ROUND (&m);
  ROUND (&m);
  ROUND (&n);
  ROUND (&n);
  FPCR &= ~ EC_MASK;
  FPCR &= ~ EC_MASK;
  if (sim_fpu_is_snan (&m) || sim_fpu_is_snan (&n)
  if (sim_fpu_is_snan (&m) || sim_fpu_is_snan (&n)
      || (sim_fpu_is_infinity (&m) && sim_fpu_is_zero (&n))
      || (sim_fpu_is_infinity (&m) && sim_fpu_is_zero (&n))
      || (sim_fpu_is_zero (&m) && sim_fpu_is_infinity (&n)))
      || (sim_fpu_is_zero (&m) && sim_fpu_is_infinity (&n)))
    {
    {
      if (FPCR & EE_V)
      if (FPCR & EE_V)
        FPCR |= EC_V;
        FPCR |= EC_V;
      else
      else
        VAL2REG (&sim_fpu_qnan, reg_out);
        VAL2REG (&sim_fpu_qnan, reg_out);
    }
    }
  else
  else
    {
    {
      sim_fpu_status stat = sim_fpu_mul (&r, &m, &n);
      sim_fpu_status stat = sim_fpu_mul (&r, &m, &n);
      stat |= ROUND (&r);
      stat |= ROUND (&r);
      if (fpu_status_ok (stat))
      if (fpu_status_ok (stat))
        VAL2REG (&r, reg_out);
        VAL2REG (&r, reg_out);
    }
    }
 
 
  fpu_check_signal_exception (sd, cpu, cia);
  fpu_check_signal_exception (sd, cpu, cia);
}
}
 
 
/* Implement a 32/64 bit FP div, setting FP exception bits when
/* Implement a 32/64 bit FP div, setting FP exception bits when
   appropriate.  */
   appropriate.  */
void
void
fpu_div (SIM_DESC sd, sim_cpu *cpu, sim_cia cia,
fpu_div (SIM_DESC sd, sim_cpu *cpu, sim_cia cia,
         const void *reg_in1, const void *reg_in2,
         const void *reg_in1, const void *reg_in2,
         void *reg_out, const struct fp_prec_t *ops)
         void *reg_out, const struct fp_prec_t *ops)
{
{
  sim_fpu m, n, r;
  sim_fpu m, n, r;
 
 
  REG2VAL (reg_in1, &m);
  REG2VAL (reg_in1, &m);
  REG2VAL (reg_in2, &n);
  REG2VAL (reg_in2, &n);
  ROUND (&m);
  ROUND (&m);
  ROUND (&n);
  ROUND (&n);
  FPCR &= ~ EC_MASK;
  FPCR &= ~ EC_MASK;
  if (sim_fpu_is_snan (&m) || sim_fpu_is_snan (&n)
  if (sim_fpu_is_snan (&m) || sim_fpu_is_snan (&n)
      || (sim_fpu_is_infinity (&m) && sim_fpu_is_infinity (&n))
      || (sim_fpu_is_infinity (&m) && sim_fpu_is_infinity (&n))
      || (sim_fpu_is_zero (&m) && sim_fpu_is_zero (&n)))
      || (sim_fpu_is_zero (&m) && sim_fpu_is_zero (&n)))
    {
    {
      if (FPCR & EE_V)
      if (FPCR & EE_V)
        FPCR |= EC_V;
        FPCR |= EC_V;
      else
      else
        VAL2REG (&sim_fpu_qnan, reg_out);
        VAL2REG (&sim_fpu_qnan, reg_out);
    }
    }
  else if (sim_fpu_is_number (&m) && sim_fpu_is_zero (&n)
  else if (sim_fpu_is_number (&m) && sim_fpu_is_zero (&n)
           && (FPCR & EE_Z))
           && (FPCR & EE_Z))
    FPCR |= EC_Z;
    FPCR |= EC_Z;
  else
  else
    {
    {
      sim_fpu_status stat = sim_fpu_div (&r, &m, &n);
      sim_fpu_status stat = sim_fpu_div (&r, &m, &n);
      stat |= ROUND (&r);
      stat |= ROUND (&r);
      if (fpu_status_ok (stat))
      if (fpu_status_ok (stat))
        VAL2REG (&r, reg_out);
        VAL2REG (&r, reg_out);
    }
    }
 
 
  fpu_check_signal_exception (sd, cpu, cia);
  fpu_check_signal_exception (sd, cpu, cia);
}
}
 
 
/* Implement a 32/64 bit FP madd, setting FP exception bits when
/* Implement a 32/64 bit FP madd, setting FP exception bits when
   appropriate.  */
   appropriate.  */
void
void
fpu_fmadd (SIM_DESC sd, sim_cpu *cpu, sim_cia cia,
fpu_fmadd (SIM_DESC sd, sim_cpu *cpu, sim_cia cia,
           const void *reg_in1, const void *reg_in2, const void *reg_in3,
           const void *reg_in1, const void *reg_in2, const void *reg_in3,
           void *reg_out, const struct fp_prec_t *ops)
           void *reg_out, const struct fp_prec_t *ops)
{
{
  sim_fpu m1, m2, m, n, r;
  sim_fpu m1, m2, m, n, r;
 
 
  REG2VAL (reg_in1, &m1);
  REG2VAL (reg_in1, &m1);
  REG2VAL (reg_in2, &m2);
  REG2VAL (reg_in2, &m2);
  REG2VAL (reg_in3, &n);
  REG2VAL (reg_in3, &n);
  ROUND (&m1);
  ROUND (&m1);
  ROUND (&m2);
  ROUND (&m2);
  ROUND (&n);
  ROUND (&n);
  FPCR &= ~ EC_MASK;
  FPCR &= ~ EC_MASK;
  if (sim_fpu_is_snan (&m1) || sim_fpu_is_snan (&m2) || sim_fpu_is_snan (&n)
  if (sim_fpu_is_snan (&m1) || sim_fpu_is_snan (&m2) || sim_fpu_is_snan (&n)
      || (sim_fpu_is_infinity (&m1) && sim_fpu_is_zero (&m2))
      || (sim_fpu_is_infinity (&m1) && sim_fpu_is_zero (&m2))
      || (sim_fpu_is_zero (&m1) && sim_fpu_is_infinity (&m2)))
      || (sim_fpu_is_zero (&m1) && sim_fpu_is_infinity (&m2)))
    {
    {
    invalid_operands:
    invalid_operands:
      if (FPCR & EE_V)
      if (FPCR & EE_V)
        FPCR |= EC_V;
        FPCR |= EC_V;
      else
      else
        VAL2REG (&sim_fpu_qnan, reg_out);
        VAL2REG (&sim_fpu_qnan, reg_out);
    }
    }
  else
  else
    {
    {
      sim_fpu_status stat = sim_fpu_mul (&m, &m1, &m2);
      sim_fpu_status stat = sim_fpu_mul (&m, &m1, &m2);
 
 
      if (sim_fpu_is_infinity (&m) && sim_fpu_is_infinity (&n)
      if (sim_fpu_is_infinity (&m) && sim_fpu_is_infinity (&n)
          && sim_fpu_sign (&m) != sim_fpu_sign (&n))
          && sim_fpu_sign (&m) != sim_fpu_sign (&n))
        goto invalid_operands;
        goto invalid_operands;
 
 
      stat |= sim_fpu_add (&r, &m, &n);
      stat |= sim_fpu_add (&r, &m, &n);
      stat |= ROUND (&r);
      stat |= ROUND (&r);
      if (fpu_status_ok (stat))
      if (fpu_status_ok (stat))
        VAL2REG (&r, reg_out);
        VAL2REG (&r, reg_out);
    }
    }
 
 
  fpu_check_signal_exception (sd, cpu, cia);
  fpu_check_signal_exception (sd, cpu, cia);
}
}
 
 
/* Implement a 32/64 bit FP msub, setting FP exception bits when
/* Implement a 32/64 bit FP msub, setting FP exception bits when
   appropriate.  */
   appropriate.  */
void
void
fpu_fmsub (SIM_DESC sd, sim_cpu *cpu, sim_cia cia,
fpu_fmsub (SIM_DESC sd, sim_cpu *cpu, sim_cia cia,
           const void *reg_in1, const void *reg_in2, const void *reg_in3,
           const void *reg_in1, const void *reg_in2, const void *reg_in3,
           void *reg_out, const struct fp_prec_t *ops)
           void *reg_out, const struct fp_prec_t *ops)
{
{
  sim_fpu m1, m2, m, n, r;
  sim_fpu m1, m2, m, n, r;
 
 
  REG2VAL (reg_in1, &m1);
  REG2VAL (reg_in1, &m1);
  REG2VAL (reg_in2, &m2);
  REG2VAL (reg_in2, &m2);
  REG2VAL (reg_in3, &n);
  REG2VAL (reg_in3, &n);
  ROUND (&m1);
  ROUND (&m1);
  ROUND (&m2);
  ROUND (&m2);
  ROUND (&n);
  ROUND (&n);
  FPCR &= ~ EC_MASK;
  FPCR &= ~ EC_MASK;
  if (sim_fpu_is_snan (&m1) || sim_fpu_is_snan (&m2) || sim_fpu_is_snan (&n)
  if (sim_fpu_is_snan (&m1) || sim_fpu_is_snan (&m2) || sim_fpu_is_snan (&n)
      || (sim_fpu_is_infinity (&m1) && sim_fpu_is_zero (&m2))
      || (sim_fpu_is_infinity (&m1) && sim_fpu_is_zero (&m2))
      || (sim_fpu_is_zero (&m1) && sim_fpu_is_infinity (&m2)))
      || (sim_fpu_is_zero (&m1) && sim_fpu_is_infinity (&m2)))
    {
    {
    invalid_operands:
    invalid_operands:
      if (FPCR & EE_V)
      if (FPCR & EE_V)
        FPCR |= EC_V;
        FPCR |= EC_V;
      else
      else
        VAL2REG (&sim_fpu_qnan, reg_out);
        VAL2REG (&sim_fpu_qnan, reg_out);
    }
    }
  else
  else
    {
    {
      sim_fpu_status stat = sim_fpu_mul (&m, &m1, &m2);
      sim_fpu_status stat = sim_fpu_mul (&m, &m1, &m2);
 
 
      if (sim_fpu_is_infinity (&m) && sim_fpu_is_infinity (&n)
      if (sim_fpu_is_infinity (&m) && sim_fpu_is_infinity (&n)
          && sim_fpu_sign (&m) == sim_fpu_sign (&n))
          && sim_fpu_sign (&m) == sim_fpu_sign (&n))
        goto invalid_operands;
        goto invalid_operands;
 
 
      stat |= sim_fpu_sub (&r, &m, &n);
      stat |= sim_fpu_sub (&r, &m, &n);
      stat |= ROUND (&r);
      stat |= ROUND (&r);
      if (fpu_status_ok (stat))
      if (fpu_status_ok (stat))
        VAL2REG (&r, reg_out);
        VAL2REG (&r, reg_out);
    }
    }
 
 
  fpu_check_signal_exception (sd, cpu, cia);
  fpu_check_signal_exception (sd, cpu, cia);
}
}
 
 
/* Implement a 32/64 bit FP nmadd, setting FP exception bits when
/* Implement a 32/64 bit FP nmadd, setting FP exception bits when
   appropriate.  */
   appropriate.  */
void
void
fpu_fnmadd (SIM_DESC sd, sim_cpu *cpu, sim_cia cia,
fpu_fnmadd (SIM_DESC sd, sim_cpu *cpu, sim_cia cia,
            const void *reg_in1, const void *reg_in2, const void *reg_in3,
            const void *reg_in1, const void *reg_in2, const void *reg_in3,
            void *reg_out, const struct fp_prec_t *ops)
            void *reg_out, const struct fp_prec_t *ops)
{
{
  sim_fpu m1, m2, m, mm, n, r;
  sim_fpu m1, m2, m, mm, n, r;
 
 
  REG2VAL (reg_in1, &m1);
  REG2VAL (reg_in1, &m1);
  REG2VAL (reg_in2, &m2);
  REG2VAL (reg_in2, &m2);
  REG2VAL (reg_in3, &n);
  REG2VAL (reg_in3, &n);
  ROUND (&m1);
  ROUND (&m1);
  ROUND (&m2);
  ROUND (&m2);
  ROUND (&n);
  ROUND (&n);
  FPCR &= ~ EC_MASK;
  FPCR &= ~ EC_MASK;
  if (sim_fpu_is_snan (&m1) || sim_fpu_is_snan (&m2) || sim_fpu_is_snan (&n)
  if (sim_fpu_is_snan (&m1) || sim_fpu_is_snan (&m2) || sim_fpu_is_snan (&n)
      || (sim_fpu_is_infinity (&m1) && sim_fpu_is_zero (&m2))
      || (sim_fpu_is_infinity (&m1) && sim_fpu_is_zero (&m2))
      || (sim_fpu_is_zero (&m1) && sim_fpu_is_infinity (&m2)))
      || (sim_fpu_is_zero (&m1) && sim_fpu_is_infinity (&m2)))
    {
    {
    invalid_operands:
    invalid_operands:
      if (FPCR & EE_V)
      if (FPCR & EE_V)
        FPCR |= EC_V;
        FPCR |= EC_V;
      else
      else
        VAL2REG (&sim_fpu_qnan, reg_out);
        VAL2REG (&sim_fpu_qnan, reg_out);
    }
    }
  else
  else
    {
    {
      sim_fpu_status stat = sim_fpu_mul (&m, &m1, &m2);
      sim_fpu_status stat = sim_fpu_mul (&m, &m1, &m2);
 
 
      if (sim_fpu_is_infinity (&m) && sim_fpu_is_infinity (&n)
      if (sim_fpu_is_infinity (&m) && sim_fpu_is_infinity (&n)
          && sim_fpu_sign (&m) == sim_fpu_sign (&n))
          && sim_fpu_sign (&m) == sim_fpu_sign (&n))
        goto invalid_operands;
        goto invalid_operands;
 
 
      stat |= sim_fpu_neg (&mm, &m);
      stat |= sim_fpu_neg (&mm, &m);
      stat |= sim_fpu_add (&r, &mm, &n);
      stat |= sim_fpu_add (&r, &mm, &n);
      stat |= ROUND (&r);
      stat |= ROUND (&r);
      if (fpu_status_ok (stat))
      if (fpu_status_ok (stat))
        VAL2REG (&r, reg_out);
        VAL2REG (&r, reg_out);
    }
    }
 
 
  fpu_check_signal_exception (sd, cpu, cia);
  fpu_check_signal_exception (sd, cpu, cia);
}
}
 
 
/* Implement a 32/64 bit FP nmsub, setting FP exception bits when
/* Implement a 32/64 bit FP nmsub, setting FP exception bits when
   appropriate.  */
   appropriate.  */
void
void
fpu_fnmsub (SIM_DESC sd, sim_cpu *cpu, sim_cia cia,
fpu_fnmsub (SIM_DESC sd, sim_cpu *cpu, sim_cia cia,
            const void *reg_in1, const void *reg_in2, const void *reg_in3,
            const void *reg_in1, const void *reg_in2, const void *reg_in3,
            void *reg_out, const struct fp_prec_t *ops)
            void *reg_out, const struct fp_prec_t *ops)
{
{
  sim_fpu m1, m2, m, mm, n, r;
  sim_fpu m1, m2, m, mm, n, r;
 
 
  REG2VAL (reg_in1, &m1);
  REG2VAL (reg_in1, &m1);
  REG2VAL (reg_in2, &m2);
  REG2VAL (reg_in2, &m2);
  REG2VAL (reg_in3, &n);
  REG2VAL (reg_in3, &n);
  ROUND (&m1);
  ROUND (&m1);
  ROUND (&m2);
  ROUND (&m2);
  ROUND (&n);
  ROUND (&n);
  FPCR &= ~ EC_MASK;
  FPCR &= ~ EC_MASK;
  if (sim_fpu_is_snan (&m1) || sim_fpu_is_snan (&m2) || sim_fpu_is_snan (&n)
  if (sim_fpu_is_snan (&m1) || sim_fpu_is_snan (&m2) || sim_fpu_is_snan (&n)
      || (sim_fpu_is_infinity (&m1) && sim_fpu_is_zero (&m2))
      || (sim_fpu_is_infinity (&m1) && sim_fpu_is_zero (&m2))
      || (sim_fpu_is_zero (&m1) && sim_fpu_is_infinity (&m2)))
      || (sim_fpu_is_zero (&m1) && sim_fpu_is_infinity (&m2)))
    {
    {
    invalid_operands:
    invalid_operands:
      if (FPCR & EE_V)
      if (FPCR & EE_V)
        FPCR |= EC_V;
        FPCR |= EC_V;
      else
      else
        VAL2REG (&sim_fpu_qnan, reg_out);
        VAL2REG (&sim_fpu_qnan, reg_out);
    }
    }
  else
  else
    {
    {
      sim_fpu_status stat = sim_fpu_mul (&m, &m1, &m2);
      sim_fpu_status stat = sim_fpu_mul (&m, &m1, &m2);
 
 
      if (sim_fpu_is_infinity (&m) && sim_fpu_is_infinity (&n)
      if (sim_fpu_is_infinity (&m) && sim_fpu_is_infinity (&n)
          && sim_fpu_sign (&m) != sim_fpu_sign (&n))
          && sim_fpu_sign (&m) != sim_fpu_sign (&n))
        goto invalid_operands;
        goto invalid_operands;
 
 
      stat |= sim_fpu_neg (&mm, &m);
      stat |= sim_fpu_neg (&mm, &m);
      stat |= sim_fpu_sub (&r, &mm, &n);
      stat |= sim_fpu_sub (&r, &mm, &n);
      stat |= ROUND (&r);
      stat |= ROUND (&r);
      if (fpu_status_ok (stat))
      if (fpu_status_ok (stat))
        VAL2REG (&r, reg_out);
        VAL2REG (&r, reg_out);
    }
    }
 
 
  fpu_check_signal_exception (sd, cpu, cia);
  fpu_check_signal_exception (sd, cpu, cia);
}
}
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.