OpenCores
URL https://opencores.org/ocsvn/openrisc_me/openrisc_me/trunk

Subversion Repositories openrisc_me

[/] [openrisc/] [trunk/] [gnu-src/] [gcc-4.2.2/] [gcc/] [testsuite/] [gcc.c-torture/] [execute/] [20020402-1.c] - Diff between revs 149 and 154

Go to most recent revision | Only display areas with differences | Details | Blame | View Log

Rev 149 Rev 154
/* derived from PR c/2100 */
/* derived from PR c/2100 */
 
 
extern void abort ();
extern void abort ();
extern void exit (int);
extern void exit (int);
 
 
#define SMALL_N  2
#define SMALL_N  2
#define NUM_ELEM 4
#define NUM_ELEM 4
 
 
int main(void)
int main(void)
{
{
  int listElem[NUM_ELEM]={30,2,10,5};
  int listElem[NUM_ELEM]={30,2,10,5};
  int listSmall[SMALL_N];
  int listSmall[SMALL_N];
  int i, j;
  int i, j;
  int posGreatest=-1, greatest=-1;
  int posGreatest=-1, greatest=-1;
 
 
  for (i=0; i<SMALL_N; i++) {
  for (i=0; i<SMALL_N; i++) {
    listSmall[i] = listElem[i];
    listSmall[i] = listElem[i];
    if (listElem[i] > greatest) {
    if (listElem[i] > greatest) {
      posGreatest = i;
      posGreatest = i;
      greatest = listElem[i];
      greatest = listElem[i];
    }
    }
  }
  }
 
 
  for (i=SMALL_N; i<NUM_ELEM; i++) {
  for (i=SMALL_N; i<NUM_ELEM; i++) {
    if (listElem[i] < greatest) {
    if (listElem[i] < greatest) {
      listSmall[posGreatest] = listElem[i];
      listSmall[posGreatest] = listElem[i];
      posGreatest = 0;
      posGreatest = 0;
      greatest = listSmall[0];
      greatest = listSmall[0];
      for (j=1; j<SMALL_N; j++)
      for (j=1; j<SMALL_N; j++)
        if (listSmall[j] > greatest) {
        if (listSmall[j] > greatest) {
          posGreatest = j;
          posGreatest = j;
          greatest = listSmall[j];
          greatest = listSmall[j];
        }
        }
    }
    }
  }
  }
 
 
  if (listSmall[0] != 5 || listSmall[1] != 2)
  if (listSmall[0] != 5 || listSmall[1] != 2)
    abort ();
    abort ();
  exit (0);
  exit (0);
}
}
 
 
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.