OpenCores
URL https://opencores.org/ocsvn/openrisc_me/openrisc_me/trunk

Subversion Repositories openrisc_me

[/] [openrisc/] [trunk/] [gnu-src/] [gdb-6.8/] [sim/] [testsuite/] [sim/] [cris/] [asm/] [test.ms] - Diff between revs 24 and 157

Only display areas with differences | Details | Blame | View Log

Rev 24 Rev 157
# mach: crisv0 crisv3 crisv8 crisv10 crisv32
# mach: crisv0 crisv3 crisv8 crisv10 crisv32
# output: 1\n
# output: 1\n
 .include "testutils.inc"
 .include "testutils.inc"
 .data
 .data
x:
x:
 .dword 0,2,-1,0x80000000,0x5432f789
 .dword 0,2,-1,0x80000000,0x5432f789
 .word 0,2,-1,0xffff,0xf789
 .word 0,2,-1,0xffff,0xf789
 .byte 0,2,0xff,0x89
 .byte 0,2,0xff,0x89
 start
 start
 clearf nzvc
 clearf nzvc
 moveq -1,r3
 moveq -1,r3
 move.d x,r5
 move.d x,r5
 setf vc
 setf vc
 test.d [r5+]
 test.d [r5+]
 test_cc 0 1 0 0
 test_cc 0 1 0 0
 setf vc
 setf vc
 test.d [r5]
 test.d [r5]
 test_cc 0 0 0 0
 test_cc 0 0 0 0
 addq 4,r5
 addq 4,r5
 setf vc
 setf vc
 test.d [r5+]
 test.d [r5+]
 test_cc 1 0 0 0
 test_cc 1 0 0 0
 setf vc
 setf vc
 test.d [r5+]
 test.d [r5+]
 test_cc 1 0 0 0
 test_cc 1 0 0 0
 setf vc
 setf vc
 test.d [r5+]
 test.d [r5+]
 test_cc 0 0 0 0
 test_cc 0 0 0 0
 setf vc
 setf vc
 test.w [r5+]
 test.w [r5+]
 test_cc 0 1 0 0
 test_cc 0 1 0 0
 setf vc
 setf vc
 test.w [r5]
 test.w [r5]
 test_cc 0 0 0 0
 test_cc 0 0 0 0
 addq 2,r5
 addq 2,r5
 setf vc
 setf vc
 test.w [r5+]
 test.w [r5+]
 test_cc 1 0 0 0
 test_cc 1 0 0 0
 setf vc
 setf vc
 test.w [r5+]
 test.w [r5+]
 test_cc 1 0 0 0
 test_cc 1 0 0 0
 setf vc
 setf vc
 test.w [r5+]
 test.w [r5+]
 test_cc 1 0 0 0
 test_cc 1 0 0 0
 setf vc
 setf vc
 test.b [r5]
 test.b [r5]
 test_cc 0 1 0 0
 test_cc 0 1 0 0
 addq 1,r5
 addq 1,r5
 setf vc
 setf vc
 test.b [r5+]
 test.b [r5+]
 test_cc 0 0 0 0
 test_cc 0 0 0 0
 setf vc
 setf vc
 test.b [r5+]
 test.b [r5+]
 test_cc 1 0 0 0
 test_cc 1 0 0 0
 setf vc
 setf vc
 test.b [r5]
 test.b [r5]
 test_cc 1 0 0 0
 test_cc 1 0 0 0
 moveq 1,r3
 moveq 1,r3
 dumpr3
 dumpr3
 quit
 quit
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.