OpenCores
URL https://opencores.org/ocsvn/openrisc/openrisc/trunk

Subversion Repositories openrisc

[/] [openrisc/] [trunk/] [or1200/] [rtl/] [verilog/] [or1200_dmmu_top.v] - Diff between revs 10 and 141

Go to most recent revision | Only display areas with differences | Details | Blame | View Log

Rev 10 Rev 141
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
////                                                              ////
////                                                              ////
////  OR1200's Data MMU top level                                 ////
////  OR1200's Data MMU top level                                 ////
////                                                              ////
////                                                              ////
////  This file is part of the OpenRISC 1200 project              ////
////  This file is part of the OpenRISC 1200 project              ////
////  http://www.opencores.org/cores/or1k/                        ////
////  http://www.opencores.org/cores/or1k/                        ////
////                                                              ////
////                                                              ////
////  Description                                                 ////
////  Description                                                 ////
////  Instantiation of all DMMU blocks.                           ////
////  Instantiation of all DMMU blocks.                           ////
////                                                              ////
////                                                              ////
////  To Do:                                                      ////
////  To Do:                                                      ////
////   - make it smaller and faster                               ////
////   - make it smaller and faster                               ////
////                                                              ////
////                                                              ////
////  Author(s):                                                  ////
////  Author(s):                                                  ////
////      - Damjan Lampret, lampret@opencores.org                 ////
////      - Damjan Lampret, lampret@opencores.org                 ////
////                                                              ////
////                                                              ////
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
////                                                              ////
////                                                              ////
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
////                                                              ////
////                                                              ////
//// This source file may be used and distributed without         ////
//// This source file may be used and distributed without         ////
//// restriction provided that this copyright statement is not    ////
//// restriction provided that this copyright statement is not    ////
//// removed from the file and that any derivative work contains  ////
//// removed from the file and that any derivative work contains  ////
//// the original copyright notice and the associated disclaimer. ////
//// the original copyright notice and the associated disclaimer. ////
////                                                              ////
////                                                              ////
//// This source file is free software; you can redistribute it   ////
//// This source file is free software; you can redistribute it   ////
//// and/or modify it under the terms of the GNU Lesser General   ////
//// and/or modify it under the terms of the GNU Lesser General   ////
//// Public License as published by the Free Software Foundation; ////
//// Public License as published by the Free Software Foundation; ////
//// either version 2.1 of the License, or (at your option) any   ////
//// either version 2.1 of the License, or (at your option) any   ////
//// later version.                                               ////
//// later version.                                               ////
////                                                              ////
////                                                              ////
//// This source is distributed in the hope that it will be       ////
//// This source is distributed in the hope that it will be       ////
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
//// PURPOSE.  See the GNU Lesser General Public License for more ////
//// PURPOSE.  See the GNU Lesser General Public License for more ////
//// details.                                                     ////
//// details.                                                     ////
////                                                              ////
////                                                              ////
//// You should have received a copy of the GNU Lesser General    ////
//// You should have received a copy of the GNU Lesser General    ////
//// Public License along with this source; if not, download it   ////
//// Public License along with this source; if not, download it   ////
//// from http://www.opencores.org/lgpl.shtml                     ////
//// from http://www.opencores.org/lgpl.shtml                     ////
////                                                              ////
////                                                              ////
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
//
//
// CVS Revision History
// CVS Revision History
//
//
// $Log: not supported by cvs2svn $
// $Log: or1200_dmmu_top.v,v $
 
// Revision 2.0  2010/06/30 11:00:00  ORSoC
 
// Minor update: 
 
// Bugs fixed. 
 
//
 
// Revision 1.9  2004/04/05 08:29:57  lampret
 
// Merged branch_qmem into main tree.
 
//
// Revision 1.7.4.2  2003/12/09 11:46:48  simons
// Revision 1.7.4.2  2003/12/09 11:46:48  simons
// Mbist nameing changed, Artisan ram instance signal names fixed, some synthesis waning fixed.
// Mbist nameing changed, Artisan ram instance signal names fixed, some synthesis waning fixed.
//
//
// Revision 1.7.4.1  2003/07/08 15:36:37  lampret
// Revision 1.7.4.1  2003/07/08 15:36:37  lampret
// Added embedded memory QMEM.
// Added embedded memory QMEM.
//
//
// Revision 1.7  2002/10/17 20:04:40  lampret
// Revision 1.7  2002/10/17 20:04:40  lampret
// Added BIST scan. Special VS RAMs need to be used to implement BIST.
// Added BIST scan. Special VS RAMs need to be used to implement BIST.
//
//
// Revision 1.6  2002/03/29 15:16:55  lampret
// Revision 1.6  2002/03/29 15:16:55  lampret
// Some of the warnings fixed.
// Some of the warnings fixed.
//
//
// Revision 1.5  2002/02/14 15:34:02  simons
// Revision 1.5  2002/02/14 15:34:02  simons
// Lapsus fixed.
// Lapsus fixed.
//
//
// Revision 1.4  2002/02/11 04:33:17  lampret
// Revision 1.4  2002/02/11 04:33:17  lampret
// Speed optimizations (removed duplicate _cyc_ and _stb_). Fixed D/IMMU cache-inhibit attr.
// Speed optimizations (removed duplicate _cyc_ and _stb_). Fixed D/IMMU cache-inhibit attr.
//
//
// Revision 1.3  2002/01/28 01:16:00  lampret
// Revision 1.3  2002/01/28 01:16:00  lampret
// Changed 'void' nop-ops instead of insn[0] to use insn[16]. Debug unit stalls the tick timer. Prepared new flag generation for add and and insns. Blocked DC/IC while they are turned off. Fixed I/D MMU SPRs layout except WAYs. TODO: smart IC invalidate, l.j 2 and TLB ways.
// Changed 'void' nop-ops instead of insn[0] to use insn[16]. Debug unit stalls the tick timer. Prepared new flag generation for add and and insns. Blocked DC/IC while they are turned off. Fixed I/D MMU SPRs layout except WAYs. TODO: smart IC invalidate, l.j 2 and TLB ways.
//
//
// Revision 1.2  2002/01/14 06:18:22  lampret
// Revision 1.2  2002/01/14 06:18:22  lampret
// Fixed mem2reg bug in FAST implementation. Updated debug unit to work with new genpc/if.
// Fixed mem2reg bug in FAST implementation. Updated debug unit to work with new genpc/if.
//
//
// Revision 1.1  2002/01/03 08:16:15  lampret
// Revision 1.1  2002/01/03 08:16:15  lampret
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
//
//
// Revision 1.6  2001/10/21 17:57:16  lampret
// Revision 1.6  2001/10/21 17:57:16  lampret
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from dc.v and ic.v. Fixed CR+LF.
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from dc.v and ic.v. Fixed CR+LF.
//
//
// Revision 1.5  2001/10/14 13:12:09  lampret
// Revision 1.5  2001/10/14 13:12:09  lampret
// MP3 version.
// MP3 version.
//
//
// Revision 1.1.1.1  2001/10/06 10:18:36  igorm
// Revision 1.1.1.1  2001/10/06 10:18:36  igorm
// no message
// no message
//
//
// Revision 1.1  2001/08/17 08:03:35  lampret
// Revision 1.1  2001/08/17 08:03:35  lampret
// *** empty log message ***
// *** empty log message ***
//
//
// Revision 1.2  2001/07/22 03:31:53  lampret
// Revision 1.2  2001/07/22 03:31:53  lampret
// Fixed RAM's oen bug. Cache bypass under development.
// Fixed RAM's oen bug. Cache bypass under development.
//
//
// Revision 1.1  2001/07/20 00:46:03  lampret
// Revision 1.1  2001/07/20 00:46:03  lampret
// Development version of RTL. Libraries are missing.
// Development version of RTL. Libraries are missing.
//
//
//
//
 
 
// synopsys translate_off
// synopsys translate_off
`include "timescale.v"
`include "timescale.v"
// synopsys translate_on
// synopsys translate_on
`include "or1200_defines.v"
`include "or1200_defines.v"
 
 
//
//
// Data MMU
// Data MMU
//
//
 
 
module or1200_dmmu_top(
module or1200_dmmu_top(
        // Rst and clk
        // Rst and clk
        clk, rst,
        clk, rst,
 
 
        // CPU i/f
        // CPU i/f
        dc_en, dmmu_en, supv, dcpu_adr_i, dcpu_cycstb_i, dcpu_we_i,
        dc_en, dmmu_en, supv, dcpu_adr_i, dcpu_cycstb_i, dcpu_we_i,
        dcpu_tag_o, dcpu_err_o,
        dcpu_tag_o, dcpu_err_o,
 
 
        // SPR access
        // SPR access
        spr_cs, spr_write, spr_addr, spr_dat_i, spr_dat_o,
        spr_cs, spr_write, spr_addr, spr_dat_i, spr_dat_o,
 
 
`ifdef OR1200_BIST
`ifdef OR1200_BIST
        // RAM BIST
        // RAM BIST
        mbist_si_i, mbist_so_o, mbist_ctrl_i,
        mbist_si_i, mbist_so_o, mbist_ctrl_i,
`endif
`endif
 
 
        // DC i/f
        // DC i/f
        qmemdmmu_err_i, qmemdmmu_tag_i, qmemdmmu_adr_o, qmemdmmu_cycstb_o, qmemdmmu_ci_o
        qmemdmmu_err_i, qmemdmmu_tag_i, qmemdmmu_adr_o, qmemdmmu_cycstb_o, qmemdmmu_ci_o
);
);
 
 
parameter dw = `OR1200_OPERAND_WIDTH;
parameter dw = `OR1200_OPERAND_WIDTH;
parameter aw = `OR1200_OPERAND_WIDTH;
parameter aw = `OR1200_OPERAND_WIDTH;
 
 
//
//
// I/O
// I/O
//
//
 
 
//
//
// Clock and reset
// Clock and reset
//
//
input                           clk;
input                           clk;
input                           rst;
input                           rst;
 
 
//
//
// CPU I/F
// CPU I/F
//
//
input                           dc_en;
input                           dc_en;
input                           dmmu_en;
input                           dmmu_en;
input                           supv;
input                           supv;
input   [aw-1:0]         dcpu_adr_i;
input   [aw-1:0]         dcpu_adr_i;
input                           dcpu_cycstb_i;
input                           dcpu_cycstb_i;
input                           dcpu_we_i;
input                           dcpu_we_i;
output  [3:0]                    dcpu_tag_o;
output  [3:0]                    dcpu_tag_o;
output                          dcpu_err_o;
output                          dcpu_err_o;
 
 
//
//
// SPR access
// SPR access
//
//
input                           spr_cs;
input                           spr_cs;
input                           spr_write;
input                           spr_write;
input   [aw-1:0]         spr_addr;
input   [aw-1:0]         spr_addr;
input   [31:0]                   spr_dat_i;
input   [31:0]                   spr_dat_i;
output  [31:0]                   spr_dat_o;
output  [31:0]                   spr_dat_o;
 
 
`ifdef OR1200_BIST
`ifdef OR1200_BIST
//
//
// RAM BIST
// RAM BIST
//
//
input mbist_si_i;
input mbist_si_i;
input [`OR1200_MBIST_CTRL_WIDTH - 1:0] mbist_ctrl_i;
input [`OR1200_MBIST_CTRL_WIDTH - 1:0] mbist_ctrl_i;
output mbist_so_o;
output mbist_so_o;
`endif
`endif
 
 
//
//
// DC I/F
// DC I/F
//
//
input                           qmemdmmu_err_i;
input                           qmemdmmu_err_i;
input   [3:0]                    qmemdmmu_tag_i;
input   [3:0]                    qmemdmmu_tag_i;
output  [aw-1:0]         qmemdmmu_adr_o;
output  [aw-1:0]         qmemdmmu_adr_o;
output                          qmemdmmu_cycstb_o;
output                          qmemdmmu_cycstb_o;
output                          qmemdmmu_ci_o;
output                          qmemdmmu_ci_o;
 
 
//
//
// Internal wires and regs
// Internal wires and regs
//
//
wire                            dtlb_spr_access;
wire                            dtlb_spr_access;
wire    [31:`OR1200_DMMU_PS]    dtlb_ppn;
wire    [31:`OR1200_DMMU_PS]    dtlb_ppn;
wire                            dtlb_hit;
wire                            dtlb_hit;
wire                            dtlb_uwe;
wire                            dtlb_uwe;
wire                            dtlb_ure;
wire                            dtlb_ure;
wire                            dtlb_swe;
wire                            dtlb_swe;
wire                            dtlb_sre;
wire                            dtlb_sre;
wire    [31:0]                   dtlb_dat_o;
wire    [31:0]                   dtlb_dat_o;
wire                            dtlb_en;
wire                            dtlb_en;
wire                            dtlb_ci;
wire                            dtlb_ci;
wire                            fault;
wire                            fault;
wire                            miss;
wire                            miss;
`ifdef OR1200_NO_DMMU
`ifdef OR1200_NO_DMMU
`else
`else
reg                             dtlb_done;
reg                             dtlb_done;
reg     [31:`OR1200_DMMU_PS]    dcpu_vpn_r;
reg     [31:`OR1200_DMMU_PS]    dcpu_vpn_r;
`endif
`endif
 
 
//
//
// Implemented bits inside match and translate registers
// Implemented bits inside match and translate registers
//
//
// dtlbwYmrX: vpn 31-10  v 0
// dtlbwYmrX: vpn 31-10  v 0
// dtlbwYtrX: ppn 31-10  swe 9  sre 8  uwe 7  ure 6
// dtlbwYtrX: ppn 31-10  swe 9  sre 8  uwe 7  ure 6
//
//
// dtlb memory width:
// dtlb memory width:
// 19 bits for ppn
// 19 bits for ppn
// 13 bits for vpn
// 13 bits for vpn
// 1 bit for valid
// 1 bit for valid
// 4 bits for protection
// 4 bits for protection
// 1 bit for cache inhibit
// 1 bit for cache inhibit
 
 
`ifdef OR1200_NO_DMMU
`ifdef OR1200_NO_DMMU
 
 
//
//
// Put all outputs in inactive state
// Put all outputs in inactive state
//
//
assign spr_dat_o = 32'h00000000;
assign spr_dat_o = 32'h00000000;
assign qmemdmmu_adr_o = dcpu_adr_i;
assign qmemdmmu_adr_o = dcpu_adr_i;
assign dcpu_tag_o = qmemdmmu_tag_i;
assign dcpu_tag_o = qmemdmmu_tag_i;
assign qmemdmmu_cycstb_o = dcpu_cycstb_i;
assign qmemdmmu_cycstb_o = dcpu_cycstb_i;
assign dcpu_err_o = qmemdmmu_err_i;
assign dcpu_err_o = qmemdmmu_err_i;
assign qmemdmmu_ci_o = `OR1200_DMMU_CI;
assign qmemdmmu_ci_o = `OR1200_DMMU_CI;
`ifdef OR1200_BIST
`ifdef OR1200_BIST
assign mbist_so_o = mbist_si_i;
assign mbist_so_o = mbist_si_i;
`endif
`endif
 
 
`else
`else
 
 
//
//
// DTLB SPR access
// DTLB SPR access
//
//
// 0A00 - 0AFF  dtlbmr w0
// 0A00 - 0AFF  dtlbmr w0
// 0A00 - 0A3F  dtlbmr w0 [63:0]
// 0A00 - 0A3F  dtlbmr w0 [63:0]
//
//
// 0B00 - 0BFF  dtlbtr w0
// 0B00 - 0BFF  dtlbtr w0
// 0B00 - 0B3F  dtlbtr w0 [63:0]
// 0B00 - 0B3F  dtlbtr w0 [63:0]
//
//
assign dtlb_spr_access = spr_cs;
assign dtlb_spr_access = spr_cs;
 
 
//
//
// Tags:
// Tags:
//
//
// OR1200_DTAG_TE - TLB miss Exception
// OR1200_DTAG_TE - TLB miss Exception
// OR1200_DTAG_PE - Page fault Exception
// OR1200_DTAG_PE - Page fault Exception
//
//
assign dcpu_tag_o = miss ? `OR1200_DTAG_TE : fault ? `OR1200_DTAG_PE : qmemdmmu_tag_i;
assign dcpu_tag_o = miss ? `OR1200_DTAG_TE : fault ? `OR1200_DTAG_PE : qmemdmmu_tag_i;
 
 
//
//
// dcpu_err_o
// dcpu_err_o
//
//
assign dcpu_err_o = miss | fault | qmemdmmu_err_i;
assign dcpu_err_o = miss | fault | qmemdmmu_err_i;
 
 
//
//
// Assert dtlb_done one clock cycle after new address and dtlb_en must be active.
// Assert dtlb_done one clock cycle after new address and dtlb_en must be active.
//
//
always @(posedge clk or posedge rst)
always @(posedge clk or posedge rst)
        if (rst)
        if (rst)
                dtlb_done <= #1 1'b0;
                dtlb_done <= #1 1'b0;
        else if (dtlb_en)
        else if (dtlb_en)
                dtlb_done <= #1 dcpu_cycstb_i;
                dtlb_done <= #1 dcpu_cycstb_i;
        else
        else
                dtlb_done <= #1 1'b0;
                dtlb_done <= #1 1'b0;
 
 
//
//
// Cut transfer if something goes wrong with translation. Also delayed signals because of translation delay.
// Cut transfer if something goes wrong with translation. Also delayed signals because of translation delay.
//
//
assign qmemdmmu_cycstb_o = (!dc_en & dmmu_en) ? ~(miss | fault) & dtlb_done & dcpu_cycstb_i : ~(miss | fault) & dcpu_cycstb_i;
assign qmemdmmu_cycstb_o = (!dc_en & dmmu_en) ? ~(miss | fault) & dtlb_done & dcpu_cycstb_i : ~(miss | fault) & dcpu_cycstb_i;
//assign qmemdmmu_cycstb_o = (dmmu_en) ? ~(miss | fault) & dcpu_cycstb_i : (miss | fault) ? 1'b0 : dcpu_cycstb_i;
//assign qmemdmmu_cycstb_o = (dmmu_en) ? ~(miss | fault) & dcpu_cycstb_i : (miss | fault) ? 1'b0 : dcpu_cycstb_i;
 
 
//
//
// Cache Inhibit
// Cache Inhibit
//
//
assign qmemdmmu_ci_o = dmmu_en ? dtlb_done & dtlb_ci : `OR1200_DMMU_CI;
//assign qmemdmmu_ci_o = dmmu_en ? dtlb_done & dtlb_ci : `OR1200_DMMU_CI;
 
assign qmemdmmu_ci_o = dmmu_en ? dtlb_ci : `OR1200_DMMU_CI;
 
 
//
//
// Register dcpu_adr_i's VPN for use when DMMU is not enabled but PPN is expected to come
// Register dcpu_adr_i's VPN for use when DMMU is not enabled but PPN is expected to come
// one clock cycle after offset part.
// one clock cycle after offset part.
//
//
always @(posedge clk or posedge rst)
always @(posedge clk or posedge rst)
        if (rst)
        if (rst)
                dcpu_vpn_r <= #1 {31-`OR1200_DMMU_PS{1'b0}};
                dcpu_vpn_r <= #1 {31-`OR1200_DMMU_PS{1'b0}};
        else
        else
                dcpu_vpn_r <= #1 dcpu_adr_i[31:`OR1200_DMMU_PS];
                dcpu_vpn_r <= #1 dcpu_adr_i[31:`OR1200_DMMU_PS];
 
 
//
//
// Physical address is either translated virtual address or
// Physical address is either translated virtual address or
// simply equal when DMMU is disabled
// simply equal when DMMU is disabled
//
//
// assign qmemdmmu_adr_o = dmmu_en ? {dtlb_ppn, dcpu_adr_i[`OR1200_DMMU_PS-1:0]} : {dcpu_vpn_r, dcpu_adr_i[`OR1200_DMMU_PS-1:0]};
// assign qmemdmmu_adr_o = dmmu_en ? {dtlb_ppn, dcpu_adr_i[`OR1200_DMMU_PS-1:0]} : {dcpu_vpn_r, dcpu_adr_i[`OR1200_DMMU_PS-1:0]};
assign qmemdmmu_adr_o = dmmu_en ? {dtlb_ppn, dcpu_adr_i[`OR1200_DMMU_PS-1:0]} : dcpu_adr_i;
assign qmemdmmu_adr_o = dmmu_en ? {dtlb_ppn, dcpu_adr_i[`OR1200_DMMU_PS-1:0]} : dcpu_adr_i;
 
 
//
//
// Output to SPRS unit
// Output to SPRS unit
//
//
assign spr_dat_o = dtlb_spr_access ? dtlb_dat_o : 32'h00000000;
assign spr_dat_o = dtlb_spr_access ? dtlb_dat_o : 32'h00000000;
 
 
//
//
// Page fault exception logic
// Page fault exception logic
//
//
assign fault = dtlb_done &
assign fault = dtlb_done &
                        (  (!dcpu_we_i & !supv & !dtlb_ure) // Load in user mode not enabled
                        (  (!dcpu_we_i & !supv & !dtlb_ure) // Load in user mode not enabled
                        || (!dcpu_we_i & supv & !dtlb_sre) // Load in supv mode not enabled
                        || (!dcpu_we_i & supv & !dtlb_sre) // Load in supv mode not enabled
                        || (dcpu_we_i & !supv & !dtlb_uwe) // Store in user mode not enabled
                        || (dcpu_we_i & !supv & !dtlb_uwe) // Store in user mode not enabled
                        || (dcpu_we_i & supv & !dtlb_swe) ); // Store in supv mode not enabled
                        || (dcpu_we_i & supv & !dtlb_swe) ); // Store in supv mode not enabled
 
 
//
//
// TLB Miss exception logic
// TLB Miss exception logic
//
//
assign miss = dtlb_done & !dtlb_hit;
assign miss = dtlb_done & !dtlb_hit;
 
 
//
//
// DTLB Enable
// DTLB Enable
//
//
assign dtlb_en = dmmu_en & dcpu_cycstb_i;
assign dtlb_en = dmmu_en & dcpu_cycstb_i;
 
 
//
//
// Instantiation of DTLB
// Instantiation of DTLB
//
//
or1200_dmmu_tlb or1200_dmmu_tlb(
or1200_dmmu_tlb or1200_dmmu_tlb(
        // Rst and clk
        // Rst and clk
        .clk(clk),
        .clk(clk),
        .rst(rst),
        .rst(rst),
 
 
        // I/F for translation
        // I/F for translation
        .tlb_en(dtlb_en),
        .tlb_en(dtlb_en),
        .vaddr(dcpu_adr_i),
        .vaddr(dcpu_adr_i),
        .hit(dtlb_hit),
        .hit(dtlb_hit),
        .ppn(dtlb_ppn),
        .ppn(dtlb_ppn),
        .uwe(dtlb_uwe),
        .uwe(dtlb_uwe),
        .ure(dtlb_ure),
        .ure(dtlb_ure),
        .swe(dtlb_swe),
        .swe(dtlb_swe),
        .sre(dtlb_sre),
        .sre(dtlb_sre),
        .ci(dtlb_ci),
        .ci(dtlb_ci),
 
 
`ifdef OR1200_BIST
`ifdef OR1200_BIST
        // RAM BIST
        // RAM BIST
        .mbist_si_i(mbist_si_i),
        .mbist_si_i(mbist_si_i),
        .mbist_so_o(mbist_so_o),
        .mbist_so_o(mbist_so_o),
        .mbist_ctrl_i(mbist_ctrl_i),
        .mbist_ctrl_i(mbist_ctrl_i),
`endif
`endif
 
 
        // SPR access
        // SPR access
        .spr_cs(dtlb_spr_access),
        .spr_cs(dtlb_spr_access),
        .spr_write(spr_write),
        .spr_write(spr_write),
        .spr_addr(spr_addr),
        .spr_addr(spr_addr),
        .spr_dat_i(spr_dat_i),
        .spr_dat_i(spr_dat_i),
        .spr_dat_o(dtlb_dat_o)
        .spr_dat_o(dtlb_dat_o)
);
);
 
 
`endif
`endif
 
 
endmodule
endmodule
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.