OpenCores
URL https://opencores.org/ocsvn/openrisc_me/openrisc_me/trunk

Subversion Repositories openrisc_me

[/] [openrisc/] [trunk/] [orpsocv2/] [rtl/] [verilog/] [or1200/] [or1200_dc_ram.v] - Diff between revs 476 and 477

Go to most recent revision | Only display areas with differences | Details | Blame | View Log

Rev 476 Rev 477
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
////                                                              ////
////                                                              ////
////  OR1200's DC RAMs                                            ////
////  OR1200's DC RAMs                                            ////
////                                                              ////
////                                                              ////
////  This file is part of the OpenRISC 1200 project              ////
////  This file is part of the OpenRISC 1200 project              ////
////  http://opencores.org/project,or1k                           ////
////  http://opencores.org/project,or1k                           ////
////                                                              ////
////                                                              ////
////  Description                                                 ////
////  Description                                                 ////
////  Instatiation of DC RAM blocks.                              ////
////  Instatiation of DC RAM blocks.                              ////
////                                                              ////
////                                                              ////
////  To Do:                                                      ////
////  To Do:                                                      ////
////   - make it smaller and faster                               ////
////   - make it smaller and faster                               ////
////                                                              ////
////                                                              ////
////  Author(s):                                                  ////
////  Author(s):                                                  ////
////      - Damjan Lampret, lampret@opencores.org                 ////
////      - Damjan Lampret, lampret@opencores.org                 ////
////                                                              ////
////                                                              ////
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
////                                                              ////
////                                                              ////
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
////                                                              ////
////                                                              ////
//// This source file may be used and distributed without         ////
//// This source file may be used and distributed without         ////
//// restriction provided that this copyright statement is not    ////
//// restriction provided that this copyright statement is not    ////
//// removed from the file and that any derivative work contains  ////
//// removed from the file and that any derivative work contains  ////
//// the original copyright notice and the associated disclaimer. ////
//// the original copyright notice and the associated disclaimer. ////
////                                                              ////
////                                                              ////
//// This source file is free software; you can redistribute it   ////
//// This source file is free software; you can redistribute it   ////
//// and/or modify it under the terms of the GNU Lesser General   ////
//// and/or modify it under the terms of the GNU Lesser General   ////
//// Public License as published by the Free Software Foundation; ////
//// Public License as published by the Free Software Foundation; ////
//// either version 2.1 of the License, or (at your option) any   ////
//// either version 2.1 of the License, or (at your option) any   ////
//// later version.                                               ////
//// later version.                                               ////
////                                                              ////
////                                                              ////
//// This source is distributed in the hope that it will be       ////
//// This source is distributed in the hope that it will be       ////
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
//// PURPOSE.  See the GNU Lesser General Public License for more ////
//// PURPOSE.  See the GNU Lesser General Public License for more ////
//// details.                                                     ////
//// details.                                                     ////
////                                                              ////
////                                                              ////
//// You should have received a copy of the GNU Lesser General    ////
//// You should have received a copy of the GNU Lesser General    ////
//// Public License along with this source; if not, download it   ////
//// Public License along with this source; if not, download it   ////
//// from http://www.opencores.org/lgpl.shtml                     ////
//// from http://www.opencores.org/lgpl.shtml                     ////
////                                                              ////
////                                                              ////
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
//
//
//
//
// $Log: or1200_dc_ram.v,v $
// $Log: or1200_dc_ram.v,v $
// Revision 2.0  2010/06/30 11:00:00  ORSoC
// Revision 2.0  2010/06/30 11:00:00  ORSoC
// Minor update: 
// Minor update: 
// Coding style changed.
// Coding style changed.
//
//
 
 
// synopsys translate_off
// synopsys translate_off
`include "timescale.v"
`include "timescale.v"
// synopsys translate_on
// synopsys translate_on
`include "or1200_defines.v"
`include "or1200_defines.v"
 
 
module or1200_dc_ram(
module or1200_dc_ram(
        // Reset and clock
        // Reset and clock
        clk, rst,
        clk, rst,
 
 
`ifdef OR1200_BIST
`ifdef OR1200_BIST
        // RAM BIST
        // RAM BIST
        mbist_si_i, mbist_so_o, mbist_ctrl_i,
        mbist_si_i, mbist_so_o, mbist_ctrl_i,
`endif
`endif
 
 
        // Internal i/f
        // Internal i/f
        addr, en, we, datain, dataout
        addr, en, we, datain, dataout
);
);
 
 
parameter dw = `OR1200_OPERAND_WIDTH;
parameter dw = `OR1200_OPERAND_WIDTH;
parameter aw = `OR1200_DCINDX;
parameter aw = `OR1200_DCINDX;
 
 
//
//
// I/O
// I/O
//
//
input                           clk;
input                           clk;
input                           rst;
input                           rst;
input   [aw-1:0]         addr;
input   [aw-1:0]         addr;
input                           en;
input                           en;
input   [3:0]                    we;
input   [3:0]                    we;
input   [dw-1:0]         datain;
input   [dw-1:0]         datain;
output  [dw-1:0]         dataout;
output  [dw-1:0]         dataout;
 
 
`ifdef OR1200_BIST
`ifdef OR1200_BIST
//
//
// RAM BIST
// RAM BIST
//
//
input                           mbist_si_i;
input                           mbist_si_i;
input [`OR1200_MBIST_CTRL_WIDTH - 1:0] mbist_ctrl_i;       // bist chain shift control
input [`OR1200_MBIST_CTRL_WIDTH - 1:0] mbist_ctrl_i;       // bist chain shift control
output                          mbist_so_o;
output                          mbist_so_o;
`endif
`endif
 
 
`ifdef OR1200_NO_DC
`ifdef OR1200_NO_DC
 
 
//
//
// Data cache not implemented
// Data cache not implemented
//
//
assign dataout = {dw{1'b0}};
assign dataout = {dw{1'b0}};
`ifdef OR1200_BIST
`ifdef OR1200_BIST
assign mbist_so_o = mbist_si_i;
assign mbist_so_o = mbist_si_i;
`endif
`endif
 
 
`else
`else
 
 
//
//
// Instantiation of RAM block
// Instantiation of RAM block
//
//
`ifdef OR1200_DC_1W_4KB
 
   or1200_spram_32_bw #
   or1200_spram_32_bw #
     (
     (
      .aw(10),
      .aw(`OR1200_DCINDX),
      .dw(32)
      .dw(dw)
      )
      )
`endif
 
`ifdef OR1200_DC_1W_8KB
 
   or1200_spram_32_bw #
 
     (
 
      .aw(11),
 
      .dw(32)
 
      )
 
`endif
 
`ifdef OR1200_DC_1W_16KB
 
   or1200_spram_32_bw #
 
     (
 
      .aw(12),
 
      .dw(32)
 
      )
 
`endif
 
   dc_ram
   dc_ram
     (
     (
`ifdef OR1200_BIST
`ifdef OR1200_BIST
      // RAM BIST
      // RAM BIST
      .mbist_si_i(mbist_si_i),
      .mbist_si_i(mbist_si_i),
      .mbist_so_o(mbist_so_o),
      .mbist_so_o(mbist_so_o),
      .mbist_ctrl_i(mbist_ctrl_i),
      .mbist_ctrl_i(mbist_ctrl_i),
`endif
`endif
      .clk(clk),
      .clk(clk),
      .ce(en),
      .ce(en),
      .we(we),
      .we(we),
      .addr(addr),
      .addr(addr),
      .di(datain),
      .di(datain),
      .doq(dataout)
      .doq(dataout)
      );
      );
`endif
`endif
 
 
endmodule // or1200_dc_ram
endmodule // or1200_dc_ram
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.