OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [rel_26/] [or1200/] [rtl/] [verilog/] [or1200_dc_ram.v] - Diff between revs 504 and 1063

Go to most recent revision | Only display areas with differences | Details | Blame | View Log

Rev 504 Rev 1063
 
 
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
////                                                              ////
////                                                              ////
////  OR1200's DC RAMs                                            ////
////  OR1200's DC RAMs                                            ////
////                                                              ////
////                                                              ////
////  This file is part of the OpenRISC 1200 project              ////
////  This file is part of the OpenRISC 1200 project              ////
////  http://www.opencores.org/cores/or1k/                        ////
////  http://www.opencores.org/cores/or1k/                        ////
////                                                              ////
////                                                              ////
////  Description                                                 ////
////  Description                                                 ////
////  Instatiation of DC RAM blocks.                              ////
////  Instatiation of DC RAM blocks.                              ////
////                                                              ////
////                                                              ////
////  To Do:                                                      ////
////  To Do:                                                      ////
////   - make it smaller and faster                               ////
////   - make it smaller and faster                               ////
////                                                              ////
////                                                              ////
////  Author(s):                                                  ////
////  Author(s):                                                  ////
////      - Damjan Lampret, lampret@opencores.org                 ////
////      - Damjan Lampret, lampret@opencores.org                 ////
////                                                              ////
////                                                              ////
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
////                                                              ////
////                                                              ////
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
////                                                              ////
////                                                              ////
//// This source file may be used and distributed without         ////
//// This source file may be used and distributed without         ////
//// restriction provided that this copyright statement is not    ////
//// restriction provided that this copyright statement is not    ////
//// removed from the file and that any derivative work contains  ////
//// removed from the file and that any derivative work contains  ////
//// the original copyright notice and the associated disclaimer. ////
//// the original copyright notice and the associated disclaimer. ////
////                                                              ////
////                                                              ////
//// This source file is free software; you can redistribute it   ////
//// This source file is free software; you can redistribute it   ////
//// and/or modify it under the terms of the GNU Lesser General   ////
//// and/or modify it under the terms of the GNU Lesser General   ////
//// Public License as published by the Free Software Foundation; ////
//// Public License as published by the Free Software Foundation; ////
//// either version 2.1 of the License, or (at your option) any   ////
//// either version 2.1 of the License, or (at your option) any   ////
//// later version.                                               ////
//// later version.                                               ////
////                                                              ////
////                                                              ////
//// This source is distributed in the hope that it will be       ////
//// This source is distributed in the hope that it will be       ////
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
//// PURPOSE.  See the GNU Lesser General Public License for more ////
//// PURPOSE.  See the GNU Lesser General Public License for more ////
//// details.                                                     ////
//// details.                                                     ////
////                                                              ////
////                                                              ////
//// You should have received a copy of the GNU Lesser General    ////
//// You should have received a copy of the GNU Lesser General    ////
//// Public License along with this source; if not, download it   ////
//// Public License along with this source; if not, download it   ////
//// from http://www.opencores.org/lgpl.shtml                     ////
//// from http://www.opencores.org/lgpl.shtml                     ////
////                                                              ////
////                                                              ////
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
//
//
// CVS Revision History
// CVS Revision History
//
//
// $Log: not supported by cvs2svn $
// $Log: not supported by cvs2svn $
 
// Revision 1.1  2002/01/03 08:16:15  lampret
 
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
 
//
// Revision 1.8  2001/10/21 17:57:16  lampret
// Revision 1.8  2001/10/21 17:57:16  lampret
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from dc.v and ic.v. Fixed CR+LF.
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from dc.v and ic.v. Fixed CR+LF.
//
//
// Revision 1.7  2001/10/14 13:12:09  lampret
// Revision 1.7  2001/10/14 13:12:09  lampret
// MP3 version.
// MP3 version.
//
//
// Revision 1.1.1.1  2001/10/06 10:18:36  igorm
// Revision 1.1.1.1  2001/10/06 10:18:36  igorm
// no message
// no message
//
//
// Revision 1.2  2001/08/09 13:39:33  lampret
// Revision 1.2  2001/08/09 13:39:33  lampret
// Major clean-up.
// Major clean-up.
//
//
// Revision 1.1  2001/07/20 00:46:03  lampret
// Revision 1.1  2001/07/20 00:46:03  lampret
// Development version of RTL. Libraries are missing.
// Development version of RTL. Libraries are missing.
//
//
//
//
 
 
// synopsys translate_off
// synopsys translate_off
`include "timescale.v"
`include "timescale.v"
// synopsys translate_on
// synopsys translate_on
`include "or1200_defines.v"
`include "or1200_defines.v"
 
 
module or1200_dc_ram(
module or1200_dc_ram(
        // Reset and clock
        // Reset and clock
        clk, rst,
        clk, rst,
 
 
 
`ifdef OR1200_BIST
 
        // RAM BIST
 
        scanb_rst, scanb_si, scanb_so, scanb_en, scanb_clk,
 
`endif
 
 
        // Internal i/f
        // Internal i/f
        addr, en, we, datain, dataout
        addr, en, we, datain, dataout
);
);
 
 
parameter dw = `OR1200_OPERAND_WIDTH;
parameter dw = `OR1200_OPERAND_WIDTH;
parameter aw = `OR1200_DCINDX;
parameter aw = `OR1200_DCINDX;
 
 
//
//
// I/O
// I/O
//
//
input                           clk;
input                           clk;
input                           rst;
input                           rst;
input   [aw-1:0]         addr;
input   [aw-1:0]         addr;
input                           en;
input                           en;
input   [3:0]                    we;
input   [3:0]                    we;
input   [dw-1:0]         datain;
input   [dw-1:0]         datain;
output  [dw-1:0]         dataout;
output  [dw-1:0]         dataout;
 
 
 
`ifdef OR1200_BIST
 
//
 
// RAM BIST
 
//
 
input                           scanb_rst,
 
                                scanb_si,
 
                                scanb_en,
 
                                scanb_clk;
 
output                          scanb_so;
 
`endif
 
 
`ifdef OR1200_NO_DC
`ifdef OR1200_NO_DC
 
 
//
//
// Data cache not implemented
// Data cache not implemented
//
//
 
 
assign dataout = {dw{1'b0}};
assign dataout = {dw{1'b0}};
 
`ifdef OR1200_BIST
 
assign scanb_so = scanb_si;
 
`endif
 
 
`else
`else
 
 
 
`ifdef OR1200_BIST
 
//
 
// RAM BIST
 
//
 
wire                            scanb_ram0_so;
 
wire                            scanb_ram1_so;
 
wire                            scanb_ram2_so;
 
wire                            scanb_ram3_so;
 
wire                            scanb_ram0_si = scanb_si;
 
wire                            scanb_ram1_si = scanb_ram0_so;
 
wire                            scanb_ram2_si = scanb_ram1_so;
 
wire                            scanb_ram3_si = scanb_ram2_so;
 
assign                          scanb_so = scanb_ram3_so;
 
`endif
 
 
//
//
// Instantiation of RAM block 0
// Instantiation of RAM block 0
//
//
`ifdef OR1200_DC_1W_4KB
`ifdef OR1200_DC_1W_4KB
or1200_spram_1024x8 dc_ram0(
or1200_spram_1024x8 dc_ram0(
`endif
`endif
`ifdef OR1200_DC_1W_8KB
`ifdef OR1200_DC_1W_8KB
or1200_spram_2048x8 dc_ram0(
or1200_spram_2048x8 dc_ram0(
`endif
`endif
 
`ifdef OR1200_BIST
 
        // RAM BIST
 
        .scanb_rst(scanb_rst),
 
        .scanb_si(scanb_ram0_si),
 
        .scanb_so(scanb_ram0_so),
 
        .scanb_en(scanb_en),
 
        .scanb_clk(scanb_clk),
 
`endif
        .clk(clk),
        .clk(clk),
        .rst(rst),
        .rst(rst),
        .ce(en),
        .ce(en),
        .we(we[0]),
        .we(we[0]),
        .oe(1'b1),
        .oe(1'b1),
        .addr(addr),
        .addr(addr),
        .di(datain[7:0]),
        .di(datain[7:0]),
        .do(dataout[7:0])
        .do(dataout[7:0])
);
);
 
 
//
//
// Instantiation of RAM block 1
// Instantiation of RAM block 1
//
//
`ifdef OR1200_DC_1W_4KB
`ifdef OR1200_DC_1W_4KB
or1200_spram_1024x8 dc_ram1(
or1200_spram_1024x8 dc_ram1(
`endif
`endif
`ifdef OR1200_DC_1W_8KB
`ifdef OR1200_DC_1W_8KB
or1200_spram_2048x8 dc_ram1(
or1200_spram_2048x8 dc_ram1(
`endif
`endif
 
`ifdef OR1200_BIST
 
        // RAM BIST
 
        .scanb_rst(scanb_rst),
 
        .scanb_si(scanb_ram1_si),
 
        .scanb_so(scanb_ram1_so),
 
        .scanb_en(scanb_en),
 
        .scanb_clk(scanb_clk),
 
`endif
        .clk(clk),
        .clk(clk),
        .rst(rst),
        .rst(rst),
        .ce(en),
        .ce(en),
        .we(we[1]),
        .we(we[1]),
        .oe(1'b1),
        .oe(1'b1),
        .addr(addr),
        .addr(addr),
        .di(datain[15:8]),
        .di(datain[15:8]),
        .do(dataout[15:8])
        .do(dataout[15:8])
);
);
 
 
//
//
// Instantiation of RAM block 2
// Instantiation of RAM block 2
//
//
`ifdef OR1200_DC_1W_4KB
`ifdef OR1200_DC_1W_4KB
or1200_spram_1024x8 dc_ram2(
or1200_spram_1024x8 dc_ram2(
`endif
`endif
`ifdef OR1200_DC_1W_8KB
`ifdef OR1200_DC_1W_8KB
or1200_spram_2048x8 dc_ram2(
or1200_spram_2048x8 dc_ram2(
`endif
`endif
 
`ifdef OR1200_BIST
 
        // RAM BIST
 
        .scanb_rst(scanb_rst),
 
        .scanb_si(scanb_ram2_si),
 
        .scanb_so(scanb_ram2_so),
 
        .scanb_en(scanb_en),
 
        .scanb_clk(scanb_clk),
 
`endif
        .clk(clk),
        .clk(clk),
        .rst(rst),
        .rst(rst),
        .ce(en),
        .ce(en),
        .we(we[2]),
        .we(we[2]),
        .oe(1'b1),
        .oe(1'b1),
        .addr(addr),
        .addr(addr),
        .di(datain[23:16]),
        .di(datain[23:16]),
        .do(dataout[23:16])
        .do(dataout[23:16])
);
);
 
 
//
//
// Instantiation of RAM block 3
// Instantiation of RAM block 3
//
//
`ifdef OR1200_DC_1W_4KB
`ifdef OR1200_DC_1W_4KB
or1200_spram_1024x8 dc_ram3(
or1200_spram_1024x8 dc_ram3(
`endif
`endif
`ifdef OR1200_DC_1W_8KB
`ifdef OR1200_DC_1W_8KB
or1200_spram_2048x8 dc_ram3(
or1200_spram_2048x8 dc_ram3(
`endif
`endif
 
`ifdef OR1200_BIST
 
        // RAM BIST
 
        .scanb_rst(scanb_rst),
 
        .scanb_si(scanb_ram3_si),
 
        .scanb_so(scanb_ram3_so),
 
        .scanb_en(scanb_en),
 
        .scanb_clk(scanb_clk),
 
`endif
        .clk(clk),
        .clk(clk),
        .rst(rst),
        .rst(rst),
        .ce(en),
        .ce(en),
        .we(we[3]),
        .we(we[3]),
        .oe(1'b1),
        .oe(1'b1),
        .addr(addr),
        .addr(addr),
        .di(datain[31:24]),
        .di(datain[31:24]),
        .do(dataout[31:24])
        .do(dataout[31:24])
);
);
 
 
`endif
`endif
 
 
endmodule
endmodule
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.