OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [rel_26/] [or1200/] [rtl/] [verilog/] [or1200_wb_biu.v] - Diff between revs 504 and 895

Go to most recent revision | Only display areas with differences | Details | Blame | View Log

Rev 504 Rev 895
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
////                                                              ////
////                                                              ////
////  OR1200's WISHBONE BIU                                       ////
////  OR1200's WISHBONE BIU                                       ////
////                                                              ////
////                                                              ////
////  This file is part of the OpenRISC 1200 project              ////
////  This file is part of the OpenRISC 1200 project              ////
////  http://www.opencores.org/cores/or1k/                        ////
////  http://www.opencores.org/cores/or1k/                        ////
////                                                              ////
////                                                              ////
////  Description                                                 ////
////  Description                                                 ////
////  Implements WISHBONE interface                               ////
////  Implements WISHBONE interface                               ////
////                                                              ////
////                                                              ////
////  To Do:                                                      ////
////  To Do:                                                      ////
////   - add support for wb_err_i                                 ////
////   - add support for wb_err_i                                 ////
////                                                              ////
////                                                              ////
////  Author(s):                                                  ////
////  Author(s):                                                  ////
////      - Damjan Lampret, lampret@opencores.org                 ////
////      - Damjan Lampret, lampret@opencores.org                 ////
////                                                              ////
////                                                              ////
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
////                                                              ////
////                                                              ////
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
////                                                              ////
////                                                              ////
//// This source file may be used and distributed without         ////
//// This source file may be used and distributed without         ////
//// restriction provided that this copyright statement is not    ////
//// restriction provided that this copyright statement is not    ////
//// removed from the file and that any derivative work contains  ////
//// removed from the file and that any derivative work contains  ////
//// the original copyright notice and the associated disclaimer. ////
//// the original copyright notice and the associated disclaimer. ////
////                                                              ////
////                                                              ////
//// This source file is free software; you can redistribute it   ////
//// This source file is free software; you can redistribute it   ////
//// and/or modify it under the terms of the GNU Lesser General   ////
//// and/or modify it under the terms of the GNU Lesser General   ////
//// Public License as published by the Free Software Foundation; ////
//// Public License as published by the Free Software Foundation; ////
//// either version 2.1 of the License, or (at your option) any   ////
//// either version 2.1 of the License, or (at your option) any   ////
//// later version.                                               ////
//// later version.                                               ////
////                                                              ////
////                                                              ////
//// This source is distributed in the hope that it will be       ////
//// This source is distributed in the hope that it will be       ////
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
//// PURPOSE.  See the GNU Lesser General Public License for more ////
//// PURPOSE.  See the GNU Lesser General Public License for more ////
//// details.                                                     ////
//// details.                                                     ////
////                                                              ////
////                                                              ////
//// You should have received a copy of the GNU Lesser General    ////
//// You should have received a copy of the GNU Lesser General    ////
//// Public License along with this source; if not, download it   ////
//// Public License along with this source; if not, download it   ////
//// from http://www.opencores.org/lgpl.shtml                     ////
//// from http://www.opencores.org/lgpl.shtml                     ////
////                                                              ////
////                                                              ////
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
//
//
// CVS Revision History
// CVS Revision History
//
//
// $Log: not supported by cvs2svn $
// $Log: not supported by cvs2svn $
 
// Revision 1.1  2002/01/03 08:16:15  lampret
 
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
 
//
// Revision 1.12  2001/11/22 13:42:51  lampret
// Revision 1.12  2001/11/22 13:42:51  lampret
// Added wb_cyc_o assignment after it was removed by accident.
// Added wb_cyc_o assignment after it was removed by accident.
//
//
// Revision 1.11  2001/11/20 21:28:10  lampret
// Revision 1.11  2001/11/20 21:28:10  lampret
// Added optional sampling of inputs.
// Added optional sampling of inputs.
//
//
// Revision 1.10  2001/11/18 11:32:00  lampret
// Revision 1.10  2001/11/18 11:32:00  lampret
// OR1200_REGISTERED_OUTPUTS can now be enabled.
// OR1200_REGISTERED_OUTPUTS can now be enabled.
//
//
// Revision 1.9  2001/10/21 17:57:16  lampret
// Revision 1.9  2001/10/21 17:57:16  lampret
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from dc.v and ic.v. Fixed CR+LF.
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from dc.v and ic.v. Fixed CR+LF.
//
//
// Revision 1.8  2001/10/14 13:12:10  lampret
// Revision 1.8  2001/10/14 13:12:10  lampret
// MP3 version.
// MP3 version.
//
//
// Revision 1.1.1.1  2001/10/06 10:18:35  igorm
// Revision 1.1.1.1  2001/10/06 10:18:35  igorm
// no message
// no message
//
//
// Revision 1.3  2001/08/09 13:39:33  lampret
// Revision 1.3  2001/08/09 13:39:33  lampret
// Major clean-up.
// Major clean-up.
//
//
// Revision 1.2  2001/07/22 03:31:54  lampret
// Revision 1.2  2001/07/22 03:31:54  lampret
// Fixed RAM's oen bug. Cache bypass under development.
// Fixed RAM's oen bug. Cache bypass under development.
//
//
// Revision 1.1  2001/07/20 00:46:23  lampret
// Revision 1.1  2001/07/20 00:46:23  lampret
// Development version of RTL. Libraries are missing.
// Development version of RTL. Libraries are missing.
//
//
//
//
 
 
// synopsys translate_off
// synopsys translate_off
`include "timescale.v"
`include "timescale.v"
// synopsys translate_on
// synopsys translate_on
`include "or1200_defines.v"
`include "or1200_defines.v"
 
 
module or1200_wb_biu(
module or1200_wb_biu(
        // RISC clock, reset and clock control
        // RISC clock, reset and clock control
        clk, rst, clmode,
        clk, rst, clmode,
 
 
        // WISHBONE interface
        // WISHBONE interface
        wb_clk_i, wb_rst_i, wb_ack_i, wb_err_i, wb_rty_i, wb_dat_i,
        wb_clk_i, wb_rst_i, wb_ack_i, wb_err_i, wb_rty_i, wb_dat_i,
        wb_cyc_o, wb_adr_o, wb_stb_o, wb_we_o, wb_sel_o, wb_cab_o, wb_dat_o,
        wb_cyc_o, wb_adr_o, wb_stb_o, wb_we_o, wb_sel_o, wb_cab_o, wb_dat_o,
 
 
        // Internal RISC bus
        // Internal RISC bus
        biu_dat_i, biu_adr_i, biu_cyc_i, biu_stb_i, biu_we_i, biu_sel_i, biu_cab_i,
        biu_dat_i, biu_adr_i, biu_cyc_i, biu_stb_i, biu_we_i, biu_sel_i, biu_cab_i,
        biu_dat_o, biu_ack_o, biu_err_o
        biu_dat_o, biu_ack_o, biu_err_o
);
);
 
 
parameter dw = `OR1200_OPERAND_WIDTH;
parameter dw = `OR1200_OPERAND_WIDTH;
parameter aw = `OR1200_OPERAND_WIDTH;
parameter aw = `OR1200_OPERAND_WIDTH;
 
 
//
//
// RISC clock, reset and clock control
// RISC clock, reset and clock control
//
//
input                   clk;            // RISC clock
input                   clk;            // RISC clock
input                   rst;            // RISC reset
input                   rst;            // RISC reset
input   [1:0]            clmode;         // 00 WB=RISC, 01 WB=RISC/2, 10 N/A, 11 WB=RISC/4
input   [1:0]            clmode;         // 00 WB=RISC, 01 WB=RISC/2, 10 N/A, 11 WB=RISC/4
 
 
//
//
// WISHBONE interface
// WISHBONE interface
//
//
input                   wb_clk_i;       // clock input
input                   wb_clk_i;       // clock input
input                   wb_rst_i;       // reset input
input                   wb_rst_i;       // reset input
input                   wb_ack_i;       // normal termination
input                   wb_ack_i;       // normal termination
input                   wb_err_i;       // termination w/ error
input                   wb_err_i;       // termination w/ error
input                   wb_rty_i;       // termination w/ retry
input                   wb_rty_i;       // termination w/ retry
input   [dw-1:0] wb_dat_i;       // input data bus
input   [dw-1:0] wb_dat_i;       // input data bus
output                  wb_cyc_o;       // cycle valid output
output                  wb_cyc_o;       // cycle valid output
output  [aw-1:0] wb_adr_o;       // address bus outputs
output  [aw-1:0] wb_adr_o;       // address bus outputs
output                  wb_stb_o;       // strobe output
output                  wb_stb_o;       // strobe output
output                  wb_we_o;        // indicates write transfer
output                  wb_we_o;        // indicates write transfer
output  [3:0]            wb_sel_o;       // byte select outputs
output  [3:0]            wb_sel_o;       // byte select outputs
output                  wb_cab_o;       // consecutive address burst
output                  wb_cab_o;       // consecutive address burst
output  [dw-1:0] wb_dat_o;       // output data bus
output  [dw-1:0] wb_dat_o;       // output data bus
 
 
//
//
// Internal RISC interface
// Internal RISC interface
//
//
input   [dw-1:0] biu_dat_i;      // input data bus
input   [dw-1:0] biu_dat_i;      // input data bus
input   [aw-1:0] biu_adr_i;      // address bus
input   [aw-1:0] biu_adr_i;      // address bus
input                   biu_cyc_i;      // WB cycle
input                   biu_cyc_i;      // WB cycle
input                   biu_stb_i;      // WB strobe
input                   biu_stb_i;      // WB strobe
input                   biu_we_i;       // WB write enable
input                   biu_we_i;       // WB write enable
input                   biu_cab_i;      // CAB input
input                   biu_cab_i;      // CAB input
input   [3:0]            biu_sel_i;      // byte selects
input   [3:0]            biu_sel_i;      // byte selects
output  [31:0]           biu_dat_o;      // output data bus
output  [31:0]           biu_dat_o;      // output data bus
output                  biu_ack_o;      // ack output
output                  biu_ack_o;      // ack output
output                  biu_err_o;      // err output
output                  biu_err_o;      // err output
 
 
//
//
// Registers
// Registers
//
//
reg     [1:0]            valid_div;      // Used for synchronization
reg     [1:0]            valid_div;      // Used for synchronization
`ifdef OR1200_REGISTERED_OUTPUTS
`ifdef OR1200_REGISTERED_OUTPUTS
reg     [aw-1:0] wb_adr_o;       // address bus outputs
reg     [aw-1:0] wb_adr_o;       // address bus outputs
reg                     wb_cyc_o;       // cycle output
reg                     wb_cyc_o;       // cycle output
reg                     wb_stb_o;       // strobe output
reg                     wb_stb_o;       // strobe output
reg                     wb_we_o;        // indicates write transfer
reg                     wb_we_o;        // indicates write transfer
reg     [3:0]            wb_sel_o;       // byte select outputs
reg     [3:0]            wb_sel_o;       // byte select outputs
reg                     wb_cab_o;       // CAB output
reg                     wb_cab_o;       // CAB output
reg     [dw-1:0] wb_dat_o;       // output data bus
reg     [dw-1:0] wb_dat_o;       // output data bus
`endif
`endif
`ifdef OR1200_REGISTERED_INPUTS
`ifdef OR1200_REGISTERED_INPUTS
reg                     long_ack_o;     // normal termination
reg                     long_ack_o;     // normal termination
reg                     long_err_o;     // error termination
reg                     long_err_o;     // error termination
reg     [dw-1:0] biu_dat_o;      // output data bus
reg     [dw-1:0] biu_dat_o;      // output data bus
`else
`else
wire                    long_ack_o;     // normal termination
wire                    long_ack_o;     // normal termination
wire                    long_err_o;     // error termination
wire                    long_err_o;     // error termination
`endif
`endif
 
 
//
//
// WISHBONE I/F <-> Internal RISC I/F conversion
// WISHBONE I/F <-> Internal RISC I/F conversion
//
//
 
 
//
//
// Address bus
// Address bus
//
//
`ifdef OR1200_REGISTERED_OUTPUTS
`ifdef OR1200_REGISTERED_OUTPUTS
always @(posedge wb_clk_i or posedge wb_rst_i)
always @(posedge wb_clk_i or posedge wb_rst_i)
        if (wb_rst_i)
        if (wb_rst_i)
                wb_adr_o <= #1 {aw{1'b0}};
                wb_adr_o <= #1 {aw{1'b0}};
        else if ((biu_cyc_i & biu_stb_i) & ~wb_ack_i)
        else if ((biu_cyc_i & biu_stb_i) & ~wb_ack_i)
                wb_adr_o <= #1 biu_adr_i;
                wb_adr_o <= #1 biu_adr_i;
`else
`else
assign wb_adr_o = biu_adr_i;
assign wb_adr_o = biu_adr_i;
`endif
`endif
 
 
//
//
// Input data bus
// Input data bus
//
//
`ifdef OR1200_REGISTERED_INPUTS
`ifdef OR1200_REGISTERED_INPUTS
always @(posedge wb_clk_i or posedge wb_rst_i)
always @(posedge wb_clk_i or posedge wb_rst_i)
        if (wb_rst_i)
        if (wb_rst_i)
                biu_dat_o <= #1 32'h0000_0000;
                biu_dat_o <= #1 32'h0000_0000;
        else if (wb_ack_i)
        else if (wb_ack_i)
                biu_dat_o <= #1 wb_dat_i;
                biu_dat_o <= #1 wb_dat_i;
`else
`else
assign biu_dat_o = wb_dat_i;
assign biu_dat_o = wb_dat_i;
`endif
`endif
 
 
//
//
// Output data bus
// Output data bus
//
//
`ifdef OR1200_REGISTERED_OUTPUTS
`ifdef OR1200_REGISTERED_OUTPUTS
always @(posedge wb_clk_i or posedge wb_rst_i)
always @(posedge wb_clk_i or posedge wb_rst_i)
        if (wb_rst_i)
        if (wb_rst_i)
                wb_dat_o <= #1 {dw{1'b0}};
                wb_dat_o <= #1 {dw{1'b0}};
        else if ((biu_cyc_i & biu_stb_i) & ~wb_ack_i)
        else if ((biu_cyc_i & biu_stb_i) & ~wb_ack_i)
                wb_dat_o <= #1 biu_dat_i;
                wb_dat_o <= #1 biu_dat_i;
`else
`else
assign wb_dat_o = biu_dat_i;
assign wb_dat_o = biu_dat_i;
`endif
`endif
 
 
//
//
// Valid_div counts RISC clock cycles by modulo 4
// Valid_div counts RISC clock cycles by modulo 4
// and is used to synchronize external WB i/f to
// and is used to synchronize external WB i/f to
// RISC clock
// RISC clock
//
//
always @(posedge clk or posedge rst)
always @(posedge clk or posedge rst)
        if (rst)
        if (rst)
                valid_div <= #1 2'b0;
                valid_div <= #1 2'b0;
        else
        else
                valid_div <= #1 valid_div + 'd1;
                valid_div <= #1 valid_div + 'd1;
 
 
//
//
// biu_ack_o is one RISC clock cycle long long_ack_o.
// biu_ack_o is one RISC clock cycle long long_ack_o.
// long_ack_o is one, two or four RISC clock cycles long because
// long_ack_o is one, two or four RISC clock cycles long because
// WISHBONE can work at 1, 1/2 or 1/4 RISC clock.
// WISHBONE can work at 1, 1/2 or 1/4 RISC clock.
//
//
assign biu_ack_o = long_ack_o
assign biu_ack_o = long_ack_o
`ifdef OR1200_CLKDIV_4_SUPPORTED
`ifdef OR1200_CLKDIV_4_SUPPORTED
                & (valid_div[1] | ~clmode[1])
                & (valid_div[1] | ~clmode[1])
`ifdef OR1200_CLKDIV_2_SUPPORTED
`ifdef OR1200_CLKDIV_2_SUPPORTED
                & (valid_div[0] | ~clmode[0])
                & (valid_div[0] | ~clmode[0])
`endif
`endif
`endif
`endif
                ;
                ;
 
 
//
//
// Acknowledgment of the data to the RISC
// Acknowledgment of the data to the RISC
//
//
// long_ack_o
// long_ack_o
//
//
`ifdef OR1200_REGISTERED_INPUTS
`ifdef OR1200_REGISTERED_INPUTS
always @(posedge wb_clk_i or posedge wb_rst_i)
always @(posedge wb_clk_i or posedge wb_rst_i)
        if (wb_rst_i)
        if (wb_rst_i)
                long_ack_o <= #1 1'b0;
                long_ack_o <= #1 1'b0;
        else
        else
                long_ack_o <= #1 wb_ack_i;
                long_ack_o <= #1 wb_ack_i;
`else
`else
assign long_ack_o = wb_ack_i;
assign long_ack_o = wb_ack_i;
`endif
`endif
 
 
//
//
// biu_err_o is one RISC clock cycle long long_err_o.
// biu_err_o is one RISC clock cycle long long_err_o.
// long_err_o is one, two or four RISC clock cycles long because
// long_err_o is one, two or four RISC clock cycles long because
// WISHBONE can work at 1, 1/2 or 1/4 RISC clock.
// WISHBONE can work at 1, 1/2 or 1/4 RISC clock.
//
//
assign biu_err_o = long_err_o
assign biu_err_o = long_err_o
`ifdef OR1200_CLKDIV_4_SUPPORTED
`ifdef OR1200_CLKDIV_4_SUPPORTED
                & (valid_div[1] | ~clmode[1])
                & (valid_div[1] | ~clmode[1])
`ifdef OR1200_CLKDIV_2_SUPPORTED
`ifdef OR1200_CLKDIV_2_SUPPORTED
                & (valid_div[0] | ~clmode[0])
                & (valid_div[0] | ~clmode[0])
`endif
`endif
`endif
`endif
                ;
                ;
 
 
//
//
// Error termination
// Error termination
//
//
// long_err_o
// long_err_o
//
//
`ifdef OR1200_REGISTERED_INPUTS
`ifdef OR1200_REGISTERED_INPUTS
always @(posedge wb_clk_i or posedge wb_rst_i)
always @(posedge wb_clk_i or posedge wb_rst_i)
        if (wb_rst_i)
        if (wb_rst_i)
                long_err_o <= #1 1'b0;
                long_err_o <= #1 1'b0;
        else
        else
                long_err_o <= #1 wb_err_i;
                long_err_o <= #1 wb_err_i;
`else
`else
assign long_err_o = wb_err_i;
assign long_err_o = wb_err_i;
`endif
`endif
 
 
//
//
// WB cyc_o
// WB cyc_o
//
//
`ifdef OR1200_REGISTERED_OUTPUTS
`ifdef OR1200_REGISTERED_OUTPUTS
always @(posedge wb_clk_i or posedge wb_rst_i)
always @(posedge wb_clk_i or posedge wb_rst_i)
        if (wb_rst_i)
        if (wb_rst_i)
                wb_cyc_o <= #1 1'b0;
                wb_cyc_o <= #1 1'b0;
        else
        else
 
`ifdef OR1200_NO_BURSTS
 
                wb_cyc_o <= #1 biu_cyc_i & ~wb_ack_i;
 
`else
                wb_cyc_o <= #1 biu_cyc_i & ~wb_ack_i | biu_cab_i;
                wb_cyc_o <= #1 biu_cyc_i & ~wb_ack_i | biu_cab_i;
 
`endif
 
`else
 
`ifdef OR1200_NO_BURSTS
 
assign wb_cyc_o = biu_cyc_i;
`else
`else
assign wb_cyc_o = biu_cyc_i | biu_cab_i;
assign wb_cyc_o = biu_cyc_i | biu_cab_i;
`endif
`endif
 
`endif
 
 
//
//
// WB stb_o
// WB stb_o
//
//
`ifdef OR1200_REGISTERED_OUTPUTS
`ifdef OR1200_REGISTERED_OUTPUTS
always @(posedge wb_clk_i or posedge wb_rst_i)
always @(posedge wb_clk_i or posedge wb_rst_i)
        if (wb_rst_i)
        if (wb_rst_i)
                wb_stb_o <= #1 1'b0;
                wb_stb_o <= #1 1'b0;
        else
        else
                wb_stb_o <= #1 (biu_cyc_i & biu_stb_i) & ~wb_ack_i;
                wb_stb_o <= #1 (biu_cyc_i & biu_stb_i) & ~wb_ack_i;
`else
`else
assign wb_stb_o = biu_cyc_i & biu_stb_i;
assign wb_stb_o = biu_cyc_i & biu_stb_i;
`endif
`endif
 
 
//
//
// WB we_o
// WB we_o
//
//
`ifdef OR1200_REGISTERED_OUTPUTS
`ifdef OR1200_REGISTERED_OUTPUTS
always @(posedge wb_clk_i or posedge wb_rst_i)
always @(posedge wb_clk_i or posedge wb_rst_i)
        if (wb_rst_i)
        if (wb_rst_i)
                wb_we_o <= #1 1'b0;
                wb_we_o <= #1 1'b0;
        else
        else
                wb_we_o <= #1 biu_cyc_i & biu_stb_i & biu_we_i;
                wb_we_o <= #1 biu_cyc_i & biu_stb_i & biu_we_i;
`else
`else
assign wb_we_o = biu_cyc_i & biu_stb_i & biu_we_i;
assign wb_we_o = biu_cyc_i & biu_stb_i & biu_we_i;
`endif
`endif
 
 
//
//
// WB sel_o
// WB sel_o
//
//
`ifdef OR1200_REGISTERED_OUTPUTS
`ifdef OR1200_REGISTERED_OUTPUTS
always @(posedge wb_clk_i or posedge wb_rst_i)
always @(posedge wb_clk_i or posedge wb_rst_i)
        if (wb_rst_i)
        if (wb_rst_i)
                wb_sel_o <= #1 4'b0000;
                wb_sel_o <= #1 4'b0000;
        else
        else
                wb_sel_o <= #1 biu_sel_i;
                wb_sel_o <= #1 biu_sel_i;
`else
`else
assign wb_sel_o = biu_sel_i;
assign wb_sel_o = biu_sel_i;
`endif
`endif
 
 
//
//
// WB cab_o
// WB cab_o
//
//
`ifdef OR1200_REGISTERED_OUTPUTS
`ifdef OR1200_REGISTERED_OUTPUTS
always @(posedge wb_clk_i or posedge wb_rst_i)
always @(posedge wb_clk_i or posedge wb_rst_i)
        if (wb_rst_i)
        if (wb_rst_i)
                wb_cab_o <= #1 1'b0;
                wb_cab_o <= #1 1'b0;
        else
        else
                wb_cab_o <= #1 biu_cab_i;
                wb_cab_o <= #1 biu_cab_i;
`else
`else
assign wb_cab_o = biu_cab_i;
assign wb_cab_o = biu_cab_i;
`endif
`endif
 
 
endmodule
endmodule
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.