OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [trunk/] [gdb-5.0/] [gdb/] [testsuite/] [gdb.chill/] [pr-6632.ch] - Diff between revs 107 and 1765

Go to most recent revision | Only display areas with differences | Details | Blame | View Log

Rev 107 Rev 1765
markus: MODULE
markus: MODULE
<> USE_SEIZE_FILE "pr-6632-grt.grt" <>
<> USE_SEIZE_FILE "pr-6632-grt.grt" <>
SEIZE m_dummy, m_dummy_range;
SEIZE m_dummy, m_dummy_range;
DCL v m_dummy_range;
DCL v m_dummy_range;
NEWMODE is_str_descr = STRUCT (p PTR,
NEWMODE is_str_descr = STRUCT (p PTR,
                               l INT,
                               l INT,
                               flag STRUCT (x UBYTE,
                               flag STRUCT (x UBYTE,
                                            y SET (aa, bb, cc, dd, ee, ff)));
                                            y SET (aa, bb, cc, dd, ee, ff)));
DCL des is_str_descr;
DCL des is_str_descr;
NEWMODE is_cb_debug = STRUCT (i INT,
NEWMODE is_cb_debug = STRUCT (i INT,
                              channel m_dummy_range,
                              channel m_dummy_range,
                              p PTR);
                              p PTR);
NEWMODE is_cb_debug_array = ARRAY (0:20) is_cb_debug;
NEWMODE is_cb_debug_array = ARRAY (0:20) is_cb_debug;
DCL cb_debug is_cb_debug_array;
DCL cb_debug is_cb_debug_array;
DCL cb_debug_index INT := 0;
DCL cb_debug_index INT := 0;
p: PROC (pp is_str_descr IN, x m_dummy_range IN)
p: PROC (pp is_str_descr IN, x m_dummy_range IN)
  DO WITH cb_debug(cb_debug_index);
  DO WITH cb_debug(cb_debug_index);
    channel := x;
    channel := x;
  OD;
  OD;
END p;
END p;
p (des, dummy_10);
p (des, dummy_10);
WRITETEXT (stdout, "cb_debug(%C).channel := %C%/",
WRITETEXT (stdout, "cb_debug(%C).channel := %C%/",
           cb_debug_index, cb_debug(cb_debug_index).channel);
           cb_debug_index, cb_debug(cb_debug_index).channel);
END markus;
END markus;
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.