OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [trunk/] [mp3/] [lib/] [xilinx/] [unisims/] [FDRS_1.v] - Diff between revs 266 and 1765

Only display areas with differences | Details | Blame | View Log

Rev 266 Rev 1765
// $Header: /home/marcus/revision_ctrl_test/oc_cvs/cvs/or1k/mp3/lib/xilinx/unisims/FDRS_1.v,v 1.1.1.1 2001-11-04 18:59:47 lampret Exp $
// $Header: /home/marcus/revision_ctrl_test/oc_cvs/cvs/or1k/mp3/lib/xilinx/unisims/FDRS_1.v,v 1.1.1.1 2001-11-04 18:59:47 lampret Exp $
 
 
/*
/*
 
 
FUNCTION        : D-FLIP-FLOP with sync reset, sync set
FUNCTION        : D-FLIP-FLOP with sync reset, sync set
 
 
*/
*/
 
 
`timescale  100 ps / 10 ps
`timescale  100 ps / 10 ps
 
 
`celldefine
`celldefine
 
 
module FDRS_1 (Q, C, D, R, S);
module FDRS_1 (Q, C, D, R, S);
 
 
    parameter cds_action = "ignore";
    parameter cds_action = "ignore";
    parameter INIT = 1'b0;
    parameter INIT = 1'b0;
 
 
    output Q;
    output Q;
    reg    q_out;
    reg    q_out;
 
 
    input  C, D, R, S;
    input  C, D, R, S;
 
 
    tri0 GSR = glbl.GSR;
    tri0 GSR = glbl.GSR;
 
 
    buf B1 (Q, q_out);
    buf B1 (Q, q_out);
 
 
        always @(GSR)
        always @(GSR)
            if (GSR)
            if (GSR)
                assign q_out = INIT;
                assign q_out = INIT;
            else
            else
                deassign q_out;
                deassign q_out;
 
 
        always @(negedge C)
        always @(negedge C)
            if (R)
            if (R)
                q_out <= 0;
                q_out <= 0;
            else if (S)
            else if (S)
                q_out <= 1;
                q_out <= 1;
            else
            else
                q_out <= D;
                q_out <= D;
 
 
    specify
    specify
        if (R)
        if (R)
            (negedge C => (Q +: 1'b0)) = (1, 1);
            (negedge C => (Q +: 1'b0)) = (1, 1);
        if (!R && S)
        if (!R && S)
            (negedge C => (Q +: 1'b1)) = (1, 1);
            (negedge C => (Q +: 1'b1)) = (1, 1);
        if (!R && !S)
        if (!R && !S)
            (negedge C => (Q +: D)) = (1, 1);
            (negedge C => (Q +: D)) = (1, 1);
    endspecify
    endspecify
 
 
endmodule
endmodule
 
 
`endcelldefine
`endcelldefine
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.