URL
https://opencores.org/ocsvn/or1k/or1k/trunk
Only display areas with differences |
Details |
Blame |
View Log
Rev 266 |
Rev 1765 |
// $Header: /home/marcus/revision_ctrl_test/oc_cvs/cvs/or1k/mp3/lib/xilinx/unisims/LUT2_L.v,v 1.1.1.1 2001-11-04 18:59:48 lampret Exp $
|
// $Header: /home/marcus/revision_ctrl_test/oc_cvs/cvs/or1k/mp3/lib/xilinx/unisims/LUT2_L.v,v 1.1.1.1 2001-11-04 18:59:48 lampret Exp $
|
/*
|
/*
|
|
|
FUNCTION : 2-inputs LUT
|
FUNCTION : 2-inputs LUT
|
|
|
*/
|
*/
|
|
|
`timescale 100 ps / 10 ps
|
`timescale 100 ps / 10 ps
|
|
|
`celldefine
|
`celldefine
|
|
|
module LUT2_L (LO, I0, I1);
|
module LUT2_L (LO, I0, I1);
|
|
|
parameter INIT = 4'h0;
|
parameter INIT = 4'h0;
|
|
|
input I0, I1;
|
input I0, I1;
|
|
|
output LO;
|
output LO;
|
|
|
wire out;
|
wire out;
|
|
|
lut2_l_mux4 (out, INIT[3], INIT[2], INIT[1], INIT[0], I1, I0);
|
lut2_l_mux4 (out, INIT[3], INIT[2], INIT[1], INIT[0], I1, I0);
|
|
|
buf b3 (LO, out);
|
buf b3 (LO, out);
|
|
|
specify
|
specify
|
(I0 *> LO) = (1, 1);
|
(I0 *> LO) = (1, 1);
|
(I1 *> LO) = (1, 1);
|
(I1 *> LO) = (1, 1);
|
endspecify
|
endspecify
|
|
|
endmodule
|
endmodule
|
|
|
`endcelldefine
|
`endcelldefine
|
|
|
primitive lut2_l_mux4 (o, d3, d2, d1, d0, s1, s0);
|
primitive lut2_l_mux4 (o, d3, d2, d1, d0, s1, s0);
|
|
|
output o;
|
output o;
|
input d3, d2, d1, d0;
|
input d3, d2, d1, d0;
|
input s1, s0;
|
input s1, s0;
|
|
|
table
|
table
|
|
|
// d3 d2 d1 d0 s1 s0 : o;
|
// d3 d2 d1 d0 s1 s0 : o;
|
|
|
? ? ? 1 0 0 : 1;
|
? ? ? 1 0 0 : 1;
|
? ? ? 0 0 0 : 0;
|
? ? ? 0 0 0 : 0;
|
? ? 1 ? 0 1 : 1;
|
? ? 1 ? 0 1 : 1;
|
? ? 0 ? 0 1 : 0;
|
? ? 0 ? 0 1 : 0;
|
? 1 ? ? 1 0 : 1;
|
? 1 ? ? 1 0 : 1;
|
? 0 ? ? 1 0 : 0;
|
? 0 ? ? 1 0 : 0;
|
1 ? ? ? 1 1 : 1;
|
1 ? ? ? 1 1 : 1;
|
0 ? ? ? 1 1 : 0;
|
0 ? ? ? 1 1 : 0;
|
|
|
? ? 0 0 0 x : 0;
|
? ? 0 0 0 x : 0;
|
? ? 1 1 0 x : 1;
|
? ? 1 1 0 x : 1;
|
0 0 ? ? 1 x : 0;
|
0 0 ? ? 1 x : 0;
|
1 1 ? ? 1 x : 1;
|
1 1 ? ? 1 x : 1;
|
|
|
? 0 ? 0 x 0 : 0;
|
? 0 ? 0 x 0 : 0;
|
? 1 ? 1 x 0 : 1;
|
? 1 ? 1 x 0 : 1;
|
0 ? 0 ? x 1 : 0;
|
0 ? 0 ? x 1 : 0;
|
1 ? 1 ? x 1 : 1;
|
1 ? 1 ? x 1 : 1;
|
|
|
0 0 0 0 x x : 0;
|
0 0 0 0 x x : 0;
|
1 1 1 1 x x : 1;
|
1 1 1 1 x x : 1;
|
|
|
endtable
|
endtable
|
|
|
endprimitive
|
endprimitive
|
|
|
© copyright 1999-2024
OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.