OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [trunk/] [mp3/] [lib/] [xilinx/] [unisims/] [NOR5B3.v] - Diff between revs 266 and 1765

Go to most recent revision | Only display areas with differences | Details | Blame | View Log

Rev 266 Rev 1765
// $Header: /home/marcus/revision_ctrl_test/oc_cvs/cvs/or1k/mp3/lib/xilinx/unisims/NOR5B3.v,v 1.1.1.1 2001-11-04 18:59:49 lampret Exp $
// $Header: /home/marcus/revision_ctrl_test/oc_cvs/cvs/or1k/mp3/lib/xilinx/unisims/NOR5B3.v,v 1.1.1.1 2001-11-04 18:59:49 lampret Exp $
 
 
/*
/*
 
 
FUNCTION        : 5-INPUT NOR GATE
FUNCTION        : 5-INPUT NOR GATE
 
 
*/
*/
 
 
`timescale  100 ps / 10 ps
`timescale  100 ps / 10 ps
 
 
`celldefine
`celldefine
 
 
module NOR5B3 (O, I0, I1, I2, I3, I4);
module NOR5B3 (O, I0, I1, I2, I3, I4);
 
 
    parameter cds_action = "ignore";
    parameter cds_action = "ignore";
 
 
    output O;
    output O;
 
 
    input  I0, I1, I2, I3, I4;
    input  I0, I1, I2, I3, I4;
 
 
    not N2 (i2_inv, I2);
    not N2 (i2_inv, I2);
    not N1 (i1_inv, I1);
    not N1 (i1_inv, I1);
    not N0 (i0_inv, I0);
    not N0 (i0_inv, I0);
    nor O1 (O, i0_inv, i1_inv, i2_inv, I3, I4);
    nor O1 (O, i0_inv, i1_inv, i2_inv, I3, I4);
 
 
    specify
    specify
        (I0 *> O) = (1, 1);
        (I0 *> O) = (1, 1);
        (I1 *> O) = (1, 1);
        (I1 *> O) = (1, 1);
        (I2 *> O) = (1, 1);
        (I2 *> O) = (1, 1);
        (I3 *> O) = (1, 1);
        (I3 *> O) = (1, 1);
        (I4 *> O) = (1, 1);
        (I4 *> O) = (1, 1);
    endspecify
    endspecify
 
 
endmodule
endmodule
 
 
`endcelldefine
`endcelldefine
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.