OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [trunk/] [mp3/] [lib/] [xilinx/] [unisims/] [OFDXI_S.v] - Diff between revs 266 and 1765

Go to most recent revision | Only display areas with differences | Details | Blame | View Log

Rev 266 Rev 1765
// $Header: /home/marcus/revision_ctrl_test/oc_cvs/cvs/or1k/mp3/lib/xilinx/unisims/OFDXI_S.v,v 1.1.1.1 2001-11-04 18:59:50 lampret Exp $
// $Header: /home/marcus/revision_ctrl_test/oc_cvs/cvs/or1k/mp3/lib/xilinx/unisims/OFDXI_S.v,v 1.1.1.1 2001-11-04 18:59:50 lampret Exp $
 
 
/*
/*
 
 
FUNCTION        : Output D-FLIP-FLOP with clock enable
FUNCTION        : Output D-FLIP-FLOP with clock enable
 
 
*/
*/
 
 
`timescale  100 ps / 10 ps
`timescale  100 ps / 10 ps
 
 
`celldefine
`celldefine
 
 
module OFDXI_S (Q, C, CE, D);
module OFDXI_S (Q, C, CE, D);
 
 
    parameter cds_action = "ignore";
    parameter cds_action = "ignore";
    parameter INIT = 1'b0;
    parameter INIT = 1'b0;
 
 
    output Q;
    output Q;
    reg    q_out;
    reg    q_out;
 
 
    input  C, CE, D;
    input  C, CE, D;
 
 
    tri0 GSR = glbl.GSR;
    tri0 GSR = glbl.GSR;
    tri0 GTS = glbl.GTS;
    tri0 GTS = glbl.GTS;
 
 
    bufif0 B1 (Q, q_out, GTS);
    bufif0 B1 (Q, q_out, GTS);
 
 
        always @(GSR)
        always @(GSR)
            if (GSR)
            if (GSR)
                assign q_out = INIT;
                assign q_out = INIT;
            else
            else
                deassign q_out;
                deassign q_out;
 
 
        always @(posedge C)
        always @(posedge C)
            if (CE)
            if (CE)
                q_out <= D;
                q_out <= D;
 
 
    specify
    specify
        (posedge C => (Q +: D)) = (1, 1);
        (posedge C => (Q +: D)) = (1, 1);
    endspecify
    endspecify
 
 
endmodule
endmodule
 
 
`endcelldefine
`endcelldefine
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.