OpenCores
URL https://opencores.org/ocsvn/pci/pci/trunk

Subversion Repositories pci

[/] [pci/] [tags/] [rel_12/] [sim/] [rtl_sim/] [run/] [top_groups.do] - Diff between revs 17 and 51

Go to most recent revision | Only display areas with differences | Details | Blame | View Log

Rev 17 Rev 51
// Signalscan Version 6.7p1
// Signalscan Version 6.7p1
define noactivityindicator
define noactivityindicator
define analog waveform lines
define analog waveform lines
define add variable default overlay off
define add variable default overlay off
define waveform window analogheight 1
define waveform window analogheight 1
define terminal automatic
define terminal automatic
define buttons control \
define buttons control \
  1 opensimmulationfile \
  1 opensimmulationfile \
  2 executedofile \
  2 executedofile \
  3 designbrowser \
  3 designbrowser \
  4 waveform \
  4 waveform \
  5 source \
  5 source \
  6 breakpoints \
  6 breakpoints \
  7 definesourcessearchpath \
  7 definesourcessearchpath \
  8 exit \
  8 exit \
  9 createbreakpoint \
  9 createbreakpoint \
  10 creategroup \
  10 creategroup \
  11 createmarker \
  11 createmarker \
  12 closesimmulationfile \
  12 closesimmulationfile \
  13 renamesimmulationfile \
  13 renamesimmulationfile \
  14 replacesimulationfiledata \
  14 replacesimulationfiledata \
  15 listopensimmulationfiles \
  15 listopensimmulationfiles \
  16 savedofile
  16 savedofile
define buttons waveform \
define buttons waveform \
  1 undo \
  1 undo \
  2 cut \
  2 cut \
  3 copy \
  3 copy \
  4 paste \
  4 paste \
  5 delete \
  5 delete \
  6 zoomin \
  6 zoomin \
  7 zoomout \
  7 zoomout \
  8 zoomoutfull \
  8 zoomoutfull \
  9 expand \
  9 expand \
  10 createmarker \
  10 createmarker \
  11 designbrowser:1 \
  11 designbrowser:1 \
  12 variableradixbinary \
  12 variableradixbinary \
  13 variableradixoctal \
  13 variableradixoctal \
  14 variableradixdecimal \
  14 variableradixdecimal \
  15 variableradixhexadecimal \
  15 variableradixhexadecimal \
  16 variableradixascii
  16 variableradixascii
define buttons designbrowser \
define buttons designbrowser \
  1 undo \
  1 undo \
  2 cut \
  2 cut \
  3 copy \
  3 copy \
  4 paste \
  4 paste \
  5 delete \
  5 delete \
  6 cdupscope \
  6 cdupscope \
  7 getallvariables \
  7 getallvariables \
  8 getdeepallvariables \
  8 getdeepallvariables \
  9 addvariables \
  9 addvariables \
  10 addvarsandclosewindow \
  10 addvarsandclosewindow \
  11 closewindow \
  11 closewindow \
  12 scopefiltermodule \
  12 scopefiltermodule \
  13 scopefiltertask \
  13 scopefiltertask \
  14 scopefilterfunction \
  14 scopefilterfunction \
  15 scopefilterblock \
  15 scopefilterblock \
  16 scopefilterprimitive
  16 scopefilterprimitive
define buttons event \
define buttons event \
  1 undo \
  1 undo \
  2 cut \
  2 cut \
  3 copy \
  3 copy \
  4 paste \
  4 paste \
  5 delete \
  5 delete \
  6 move \
  6 move \
  7 closewindow \
  7 closewindow \
  8 duplicate \
  8 duplicate \
  9 defineasrisingedge \
  9 defineasrisingedge \
  10 defineasfallingedge \
  10 defineasfallingedge \
  11 defineasanyedge \
  11 defineasanyedge \
  12 variableradixbinary \
  12 variableradixbinary \
  13 variableradixoctal \
  13 variableradixoctal \
  14 variableradixdecimal \
  14 variableradixdecimal \
  15 variableradixhexadecimal \
  15 variableradixhexadecimal \
  16 variableradixascii
  16 variableradixascii
define buttons source \
define buttons source \
  1 undo \
  1 undo \
  2 cut \
  2 cut \
  3 copy \
  3 copy \
  4 paste \
  4 paste \
  5 delete \
  5 delete \
  6 createbreakpoint \
  6 createbreakpoint \
  7 creategroup \
  7 creategroup \
  8 createmarker \
  8 createmarker \
  9 createevent \
  9 createevent \
  10 createregisterpage \
  10 createregisterpage \
  11 closewindow \
  11 closewindow \
  12 opensimmulationfile \
  12 opensimmulationfile \
  13 closesimmulationfile \
  13 closesimmulationfile \
  14 renamesimmulationfile \
  14 renamesimmulationfile \
  15 replacesimulationfiledata \
  15 replacesimulationfiledata \
  16 listopensimmulationfiles
  16 listopensimmulationfiles
define buttons register \
define buttons register \
  1 undo \
  1 undo \
  2 cut \
  2 cut \
  3 copy \
  3 copy \
  4 paste \
  4 paste \
  5 delete \
  5 delete \
  6 createregisterpage \
  6 createregisterpage \
  7 closewindow \
  7 closewindow \
  8 continuefor \
  8 continuefor \
  9 continueuntil \
  9 continueuntil \
  10 continueforever \
  10 continueforever \
  11 stop \
  11 stop \
  12 previous \
  12 previous \
  13 next \
  13 next \
  14 variableradixbinary \
  14 variableradixbinary \
  15 variableradixhexadecimal \
  15 variableradixhexadecimal \
  16 variableradixascii
  16 variableradixascii
define show related transactions
define show related transactions
define exit prompt
define exit prompt
define event search direction forward
define event search direction forward
define variable nofullhierarchy
define variable nofullhierarchy
define variable nofilenames
define variable nofilenames
define variable nofullpathfilenames
define variable nofullpathfilenames
include bookmark with filenames
include bookmark with filenames
include scope history without filenames
include scope history without filenames
define waveform window listpane 5.84
define waveform window listpane 5.78
define waveform window namepane 12.39
define waveform window namepane 13.93
define multivalueindication
define multivalueindication
define pattern curpos dot
define pattern curpos dot
define pattern cursor1 dot
define pattern cursor1 dot
define pattern cursor2 dot
define pattern cursor2 dot
define pattern marker dot
define pattern marker dot
define print designer "Miha Dolenc"
define print designer "Miha Dolenc"
define print border
define print border
define print color blackonwhite
define print color blackonwhite
define print command "/usr/ucb/lpr -P%P"
define print command "/usr/ucb/lpr -P%P"
define print printer  lp
define print printer  lp
define print range visible
define print range visible
define print variable visible
define print variable visible
define rise fall time low threshold percentage 10
define rise fall time low threshold percentage 10
define rise fall time high threshold percentage 90
define rise fall time high threshold percentage 90
define rise fall time low value 0
define rise fall time low value 0
define rise fall time high value 3.3
define rise fall time high value 3.3
define sendmail command "/usr/lib/sendmail"
define sendmail command "/usr/lib/sendmail"
define sequence time width 30.00
define sequence time width 30.00
define snap
define snap
define source noprompt
define source noprompt
define time units default
define time units default
define userdefinedbussymbol
define userdefinedbussymbol
define user guide directory "/usr/local/designacc/signalscan-6.7p1/doc/html"
define user guide directory "/usr/local/designacc/signalscan-6.7p1/doc/html"
define waveform window grid off
define waveform window grid off
define waveform window waveheight 14
define waveform window waveheight 14
define waveform window wavespace 6
define waveform window wavespace 6
define web browser command netscape
define web browser command netscape
define zoom outfull on initial add off
define zoom outfull on initial add off
add group \
add group \
    A \
    A \
add group \
add group \
    "PCI signals" \
    "PCI signals" \
      SYSTEM.pci_clock \
      SYSTEM.pci_clock \
      SYSTEM.MAS0_REQ \
      SYSTEM.MAS0_REQ \
      SYSTEM.MAS0_GNT \
      SYSTEM.MAS0_GNT \
      SYSTEM.MAS1_REQ \
      SYSTEM.MAS1_REQ \
      SYSTEM.MAS1_GNT \
      SYSTEM.MAS1_GNT \
      SYSTEM.MAS2_REQ \
      SYSTEM.MAS2_REQ \
      SYSTEM.MAS2_GNT \
      SYSTEM.MAS2_GNT \
      SYSTEM.FRAME \
      SYSTEM.FRAME \
      SYSTEM.IRDY \
      SYSTEM.IRDY \
      SYSTEM.DEVSEL \
      SYSTEM.DEVSEL \
      SYSTEM.TRDY \
      SYSTEM.TRDY \
      SYSTEM.STOP \
      SYSTEM.STOP \
      SYSTEM.AD[31:0]'h \
      SYSTEM.AD[31:0]'h \
      SYSTEM.CBE[3:0]'h \
      SYSTEM.CBE[3:0]'h \
      SYSTEM.PAR \
      SYSTEM.PAR \
      SYSTEM.INTA \
      SYSTEM.INTA \
      SYSTEM.PERR \
      SYSTEM.PERR \
      SYSTEM.SERR \
      SYSTEM.SERR \
add group \
add group \
    "WISHBONE slave signals" \
    "WISHBONE slave signals" \
      SYSTEM.wb_clock \
      SYSTEM.wb_clock \
      SYSTEM.CYC_I \
      SYSTEM.CYC_I \
      SYSTEM.STB_I \
      SYSTEM.STB_I \
      SYSTEM.CAB_I \
      SYSTEM.CAB_I \
      SYSTEM.WE_I \
      SYSTEM.WE_I \
      SYSTEM.ACK_O \
      SYSTEM.ACK_O \
      SYSTEM.RTY_O \
      SYSTEM.RTY_O \
      SYSTEM.ERR_O \
      SYSTEM.ERR_O \
      SYSTEM.ADR_I[31:0]'h \
      SYSTEM.ADR_I[31:0]'h \
      SYSTEM.SDAT_I[31:0]'h \
      SYSTEM.SDAT_I[31:0]'h \
      SYSTEM.SDAT_O[31:0]'h \
      SYSTEM.SDAT_O[31:0]'h \
      SYSTEM.SEL_I[3:0]'h \
      SYSTEM.SEL_I[3:0]'h \
      SYSTEM.INT_O \
      SYSTEM.INT_O \
add group \
add group \
    "WISHBONE master signals" \
    "WISHBONE master signals" \
      SYSTEM.wb_clock \
      SYSTEM.wb_clock \
      SYSTEM.CYC_O \
      SYSTEM.CYC_O \
      SYSTEM.STB_O \
      SYSTEM.STB_O \
      SYSTEM.CAB_O \
      SYSTEM.CAB_O \
      SYSTEM.WE_O \
      SYSTEM.WE_O \
      SYSTEM.ACK_I \
      SYSTEM.ACK_I \
      SYSTEM.RTY_I \
      SYSTEM.RTY_I \
      SYSTEM.ERR_I \
      SYSTEM.ERR_I \
      SYSTEM.ADR_O[31:0]'h \
      SYSTEM.ADR_O[31:0]'h \
      SYSTEM.MDAT_I[31:0]'h \
      SYSTEM.MDAT_I[31:0]'h \
      SYSTEM.MDAT_O[31:0]'h \
      SYSTEM.MDAT_O[31:0]'h \
      SYSTEM.SEL_O[3:0]'h \
      SYSTEM.SEL_O[3:0]'h \
      SYSTEM.INT_I \
      SYSTEM.INT_I \
add group \
add group \
    "Clocks, resets" \
    "Clocks, resets" \
      SYSTEM.wb_clock \
      SYSTEM.wb_clock \
      SYSTEM.pci_clock \
      SYSTEM.pci_clock \
      SYSTEM.RST \
      SYSTEM.RST \
      SYSTEM.RST_O \
      SYSTEM.RST_O \
      SYSTEM.RTY_I \
      SYSTEM.RTY_I \
 
      SYSTEM.test_name[799:0]'a \
 
 
deselect all
deselect all
open window waveform 1 geometry 10 63 1592 1095
open window waveform 1 geometry 10 59 1368 926
zoom at 148309.906(0)ns 0.00429688 0.00000000
zoom at 815149.757(0)ns 0.00214844 0.00000000
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.