OpenCores
URL https://opencores.org/ocsvn/plasma/plasma/trunk

Subversion Repositories plasma

[/] [plasma/] [trunk/] [vhdl/] [simili.cmd] - Diff between revs 350 and 352

Go to most recent revision | Only display areas with differences | Details | Blame | View Log

Rev 350 Rev 352
add list /u1/*
add list /u1/*
#add list /u1/u1_cpu/*
#add list /u1/u1_cpu/*
#add list /u1/u1_cpu/u8_mult/*
#add list /u1/u1_cpu/u8_mult/*
#add list /u1/u1_cpu/pc /u1/u1_cpu/opcode /u1/*
#add list /u1/u1_cpu/pc /u1/u1_cpu/opcode /u1/*
#add list /u1/u1_cpu/u3_control/*
#add list /u1/u1_cpu/u3_control/*
#add list /u1/u1_cpu/u8_mult/*
#add list /u1/u1_cpu/u8_mult/*
SetListStyle nodelta collapse
SetListStyle nodelta collapse
SetListInterval 0us 1ms
SetListInterval 0us 1ms
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.