OpenCores
URL https://opencores.org/ocsvn/pltbutils/pltbutils/trunk

Subversion Repositories pltbutils

[/] [pltbutils/] [branches/] [dev0007/] [sim/] [modelsim_tb_example2/] [bin/] [wave.do] - Diff between revs 64 and 66

Only display areas with differences | Details | Blame | View Log

Rev 64 Rev 66
onerror {resume}
onerror {resume}
quietly virtual signal -install /tb_example2 {/tb_example2/pltbs.test_num  } Test_number
quietly virtual signal -install /tb_example2 {/tb_example2/pltbs.test_num  } Test_number
quietly virtual signal -install /tb_example2 {/tb_example2/pltbs.test_name  } Test_name
quietly virtual signal -install /tb_example2 {/tb_example2/pltbs.test_name  } Test_name
quietly virtual signal -install /tb_example2 {/tb_example2/pltbs.info  } Info
quietly virtual signal -install /tb_example2 {/tb_example2/pltbs.info  } Info
quietly virtual signal -install /tb_example2 {/tb_example2/pltbs.chk_cnt  } Checks
quietly virtual signal -install /tb_example2 {/tb_example2/pltbs.chk_cnt  } Checks
quietly virtual signal -install /tb_example2 {/tb_example2/pltbs.err_cnt  } Errors
quietly virtual signal -install /tb_example2 {/tb_example2/pltbs.err_cnt  } Errors
quietly virtual signal -install /tb_example2 {/tb_example2/pltbs.stop_sim  } StopSim
quietly virtual signal -install /tb_example2 {/tb_example2/pltbs.stop_sim  } StopSim
quietly virtual signal -install /tb_example2 {/tb_example2/pltbs.test_num  } TestNumber
quietly virtual signal -install /tb_example2 {/tb_example2/pltbs.test_num  } TestNumber
quietly virtual signal -install /tb_example2 {/tb_example2/pltbs.test_name  } TestName
quietly virtual signal -install /tb_example2 {/tb_example2/pltbs.test_name  } TestName
quietly WaveActivateNextPane {} 0
quietly WaveActivateNextPane {} 0
add wave -noupdate -divider {Simulation info}
add wave -noupdate -divider {Simulation info}
add wave -noupdate /tb_example2/TestNumber
add wave -noupdate /tb_example2/TestNumber
add wave -noupdate /tb_example2/TestName
add wave -noupdate /tb_example2/TestName
add wave -noupdate /tb_example2/Info
add wave -noupdate /tb_example2/Info
add wave -noupdate /tb_example2/Checks
add wave -noupdate /tb_example2/Checks
add wave -noupdate /tb_example2/Errors
add wave -noupdate /tb_example2/Errors
add wave -noupdate /tb_example2/StopSim
add wave -noupdate /tb_example2/StopSim
add wave -noupdate -divider Tb
add wave -noupdate -divider Tb
add wave -noupdate /tb_example2/clk
add wave -noupdate /tb_example2/clk
add wave -noupdate /tb_example2/rst
add wave -noupdate /tb_example2/rst
add wave -noupdate /tb_example2/carry_in
add wave -noupdate /tb_example2/carry_in
add wave -noupdate /tb_example2/x
add wave -noupdate /tb_example2/x
add wave -noupdate /tb_example2/y
add wave -noupdate /tb_example2/y
add wave -noupdate /tb_example2/sum
add wave -noupdate /tb_example2/sum
add wave -noupdate /tb_example2/carry_out
add wave -noupdate /tb_example2/carry_out
add wave -noupdate -divider DUT
add wave -noupdate -divider DUT
add wave -noupdate /tb_example2/dut0/clk_i
add wave -noupdate /tb_example2/dut0/clk_i
add wave -noupdate /tb_example2/dut0/rst_i
add wave -noupdate /tb_example2/dut0/rst_i
add wave -noupdate /tb_example2/dut0/carry_i
add wave -noupdate /tb_example2/dut0/carry_i
add wave -noupdate /tb_example2/dut0/x_i
add wave -noupdate /tb_example2/dut0/x_i
add wave -noupdate /tb_example2/dut0/y_i
add wave -noupdate /tb_example2/dut0/y_i
add wave -noupdate /tb_example2/dut0/sum_o
add wave -noupdate /tb_example2/dut0/sum_o
add wave -noupdate /tb_example2/dut0/carry_o
add wave -noupdate /tb_example2/dut0/carry_o
add wave -noupdate /tb_example2/dut0/x
add wave -noupdate /tb_example2/dut0/x
add wave -noupdate /tb_example2/dut0/y
add wave -noupdate /tb_example2/dut0/y
add wave -noupdate /tb_example2/dut0/c
add wave -noupdate /tb_example2/dut0/c
add wave -noupdate /tb_example2/dut0/sum
add wave -noupdate /tb_example2/dut0/sum
add wave -noupdate -divider End
add wave -noupdate -divider End
TreeUpdate [SetDefaultTree]
TreeUpdate [SetDefaultTree]
WaveRestoreCursors {{Cursor 1} {0 ps} 0}
WaveRestoreCursors {{Cursor 1} {0 ps} 0}
configure wave -namecolwidth 133
configure wave -namecolwidth 133
configure wave -valuecolwidth 40
configure wave -valuecolwidth 40
configure wave -justifyvalue left
configure wave -justifyvalue left
configure wave -signalnamewidth 1
configure wave -signalnamewidth 1
configure wave -snapdistance 10
configure wave -snapdistance 10
configure wave -datasetprefix 0
configure wave -datasetprefix 0
configure wave -rowmargin 4
configure wave -rowmargin 4
configure wave -childrowmargin 2
configure wave -childrowmargin 2
configure wave -gridoffset 0
configure wave -gridoffset 0
configure wave -gridperiod 1
configure wave -gridperiod 1
configure wave -griddelta 40
configure wave -griddelta 40
configure wave -timeline 0
configure wave -timeline 0
configure wave -timelineunits ps
configure wave -timelineunits ps
update
update
WaveRestoreZoom {0 ps} {131072 ps}
WaveRestoreZoom {0 ps} {131072 ps}
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.