URL
https://opencores.org/ocsvn/ppx16/ppx16/trunk
[/] [ppx16/] [trunk/] [bench/] [vhdl/] [TestBench84.vhd] - Diff between revs 12 and 22
Only display areas with differences |
Details |
Blame |
View Log
Rev 12 |
Rev 22 |
library IEEE;
|
library IEEE;
|
use IEEE.std_logic_1164.all;
|
use IEEE.std_logic_1164.all;
|
|
|
entity TestBench84 is
|
entity TestBench84 is
|
end TestBench84;
|
end TestBench84;
|
|
|
architecture behaviour of TestBench84 is
|
architecture behaviour of TestBench84 is
|
|
|
signal Clk : std_logic := '0';
|
signal Clk : std_logic := '0';
|
signal Reset_n : std_logic := '0';
|
signal Reset_n : std_logic := '0';
|
signal T0CKI : std_logic := '0';
|
signal T0CKI : std_logic := '0';
|
signal INT : std_logic := '0';
|
signal INT : std_logic := '0';
|
signal Port_A : std_logic_vector(7 downto 0);
|
signal Port_A : std_logic_vector(7 downto 0);
|
signal Port_B : std_logic_vector(7 downto 0);
|
signal Port_B : std_logic_vector(7 downto 0);
|
|
|
begin
|
begin
|
|
|
p1 : entity work.P16F84 port map (Clk, Reset_n, T0CKI, INT, Port_A, Port_B);
|
p1 : entity work.P16F84 port map (Clk, Reset_n, T0CKI, INT, Port_A, Port_B);
|
|
|
Clk <= not Clk after 50 ns;
|
Clk <= not Clk after 50 ns;
|
Reset_n <= '1' after 200 ns;
|
Reset_n <= '1' after 200 ns;
|
INT <= not INT after 20 us;
|
INT <= not INT after 20 us;
|
|
|
end;
|
end;
|
|
|
© copyright 1999-2024
OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.