OpenCores
URL https://opencores.org/ocsvn/present/present/trunk

Subversion Repositories present

[/] [present/] [trunk/] [PureTesting/] [bench/] [vhdl/] [sLayerTB.vhd] - Diff between revs 13 and 19

Only display areas with differences | Details | Blame | View Log

Rev 13 Rev 19
-----------------------------------------------------------------------
-----------------------------------------------------------------------
----                                                               ----
----                                                               ----
---- Present - a lightweight block cipher project                  ----
---- Present - a lightweight block cipher project                  ----
----                                                               ----
----                                                               ----
---- This file is part of the Present - a lightweight block        ----
---- This file is part of the Present - a lightweight block        ----
---- cipher project                                                ----
---- cipher project                                                ----
---- http://www.http://opencores.org/project,present               ----
---- http://www.http://opencores.org/project,present               ----
----                                                               ----
----                                                               ----
---- Description:                                                  ----
---- Description:                                                  ----
----     Substitution layer test bench of Present encoder.         ----
----     Substitution layer test bench of Present encoder.         ----
---- Nothing special.                                              ----
---- Nothing special.                                              ----
---- To Do:                                                        ----
---- To Do:                                                        ----
----                                                               ----
----                                                               ----
---- Author(s):                                                    ----
---- Author(s):                                                    ----
---- - Krzysztof Gajewski, gajos@opencores.org                     ----
---- - Krzysztof Gajewski, gajos@opencores.org                     ----
----                       k.gajewski@gmail.com                    ----
----                       k.gajewski@gmail.com                    ----
----                                                               ----
----                                                               ----
-----------------------------------------------------------------------
-----------------------------------------------------------------------
----                                                               ----
----                                                               ----
---- Copyright (C) 2013 Authors and OPENCORES.ORG                  ----
---- Copyright (C) 2013 Authors and OPENCORES.ORG                  ----
----                                                               ----
----                                                               ----
---- This source file may be used and distributed without          ----
---- This source file may be used and distributed without          ----
---- restriction provided that this copyright statement is not     ----
---- restriction provided that this copyright statement is not     ----
---- removed from the file and that any derivative work contains   ----
---- removed from the file and that any derivative work contains   ----
---- the original copyright notice and the associated disclaimer.  ----
---- the original copyright notice and the associated disclaimer.  ----
----                                                               ----
----                                                               ----
---- This source file is free software; you can redistribute it    ----
---- This source file is free software; you can redistribute it    ----
---- and-or modify it under the terms of the GNU Lesser General    ----
---- and-or modify it under the terms of the GNU Lesser General    ----
---- Public License as published by the Free Software Foundation;  ----
---- Public License as published by the Free Software Foundation;  ----
---- either version 2.1 of the License, or (at your option) any    ----
---- either version 2.1 of the License, or (at your option) any    ----
---- later version.                                                ----
---- later version.                                                ----
----                                                               ----
----                                                               ----
---- This source is distributed in the hope that it will be        ----
---- This source is distributed in the hope that it will be        ----
---- useful, but WITHOUT ANY WARRANTY; without even the implied    ----
---- useful, but WITHOUT ANY WARRANTY; without even the implied    ----
---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR       ----
---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR       ----
---- PURPOSE. See the GNU Lesser General Public License for more   ----
---- PURPOSE. See the GNU Lesser General Public License for more   ----
---- details.                                                      ----
---- details.                                                      ----
----                                                               ----
----                                                               ----
---- You should have received a copy of the GNU Lesser General     ----
---- You should have received a copy of the GNU Lesser General     ----
---- Public License along with this source; if not, download it    ----
---- Public License along with this source; if not, download it    ----
---- from http://www.opencores.org/lgpl.shtml                      ----
---- from http://www.opencores.org/lgpl.shtml                      ----
----                                                               ----
----                                                               ----
-----------------------------------------------------------------------
-----------------------------------------------------------------------
LIBRARY ieee;
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_unsigned.all;
USE ieee.numeric_std.ALL;
USE ieee.numeric_std.ALL;
 
 
ENTITY sLayerTB IS
ENTITY sLayerTB IS
END sLayerTB;
END sLayerTB;
 
 
ARCHITECTURE behavior OF sLayerTB IS
ARCHITECTURE behavior OF sLayerTB IS
 
 
    -- Component Declaration for the Unit Under Test (UUT)
    -- Component Declaration for the Unit Under Test (UUT)
 
 
    COMPONENT slayer
    COMPONENT slayer
    PORT(
    PORT(
         input : IN  std_logic_vector(3 downto 0);
         input : IN  std_logic_vector(3 downto 0);
         output : OUT  std_logic_vector(3 downto 0)
         output : OUT  std_logic_vector(3 downto 0)
        );
        );
    END COMPONENT;
    END COMPONENT;
 
 
 
 
   --Inputs
   --Inputs
   signal clk : std_logic := '0';
   signal clk : std_logic := '0';
   signal reset : std_logic := '0';
   signal reset : std_logic := '0';
 
 
        --BiDirs
        --BiDirs
   signal input : std_logic_vector(3 downto 0);
   signal input : std_logic_vector(3 downto 0);
   signal output : std_logic_vector(3 downto 0);
   signal output : std_logic_vector(3 downto 0);
 
 
   -- Clock period definitions
   -- Clock period definitions
   constant clk_period : time := 1ns;
   constant clk_period : time := 1ns;
 
 
BEGIN
BEGIN
 
 
        -- Instantiate the Unit Under Test (UUT)
        -- Instantiate the Unit Under Test (UUT)
   uut: slayer PORT MAP (
   uut: slayer PORT MAP (
          input => input,
          input => input,
          output => output
          output => output
        );
        );
 
 
   -- Clock process definitions
   -- Clock process definitions
   clk_process :process
   clk_process :process
   begin
   begin
                clk <= '0';
                clk <= '0';
                wait for clk_period/2;
                wait for clk_period/2;
                clk <= '1';
                clk <= '1';
                wait for clk_period/2;
                wait for clk_period/2;
   end process;
   end process;
 
 
 
 
   -- Stimulus process
   -- Stimulus process
   stim_proc: process
   stim_proc: process
   begin
   begin
      -- hold reset state for 100ms.
      -- hold reset state for 100ms.
                reset <= '1';
                reset <= '1';
      wait for 100ns;
      wait for 100ns;
                reset <= '0';
                reset <= '0';
      wait for clk_period;
      wait for clk_period;
 
 
---- Preparation for test case 1 -----------------
---- Preparation for test case 1 -----------------
--   inpput <= x"0";
--   input <= x"0";
--   expected_output <= x"";
--   expected_output <= x"";
--------------------------------------------------
--------------------------------------------------
 
 
                input <= x"0";
                input <= x"0";
      wait for clk_period;
      wait for clk_period;
 
 
                if output /= x"C" then
                if output /= x"C" then
                        report "RESULT MISMATCH! Test case 1 failed" severity ERROR;
                        report "RESULT MISMATCH! Test case 1 failed" severity ERROR;
                        assert false severity failure;
                        assert false severity failure;
                else
                else
                        report "Test case 1 successful" severity note;
                        report "Test case 1 successful" severity note;
                end if;
                end if;
 
 
---- Preparation for test case 2 -----------------
---- Preparation for test case 2 -----------------
--   inpput <= x"0";
--   input <= x"A";
--   expected_output <= x"";
--   expected_output <= x"F";
--------------------------------------------------
--------------------------------------------------
 
 
                input <= x"A";
                input <= x"A";
      wait for clk_period;
      wait for clk_period;
 
 
                if output /= x"F" then
                if output /= x"F" then
                        report "RESULT MISMATCH! Test case 2 failed" severity ERROR;
                        report "RESULT MISMATCH! Test case 2 failed" severity ERROR;
                        assert false severity failure;
                        assert false severity failure;
                else
                else
                        report "Test case 2 successful" severity note;
                        report "Test case 2 successful" severity note;
                end if;
                end if;
 
 
---- Preparation for test case 3 -----------------
---- Preparation for test case 3 -----------------
--   inpput <= x"0";
--   input <= x"F";
--   expected_output <= x"";
--   expected_output <= x"2";
--------------------------------------------------
--------------------------------------------------
 
 
                input <= x"F";
                input <= x"F";
      wait for clk_period;
      wait for clk_period;
 
 
                if output /= x"2" then
                if output /= x"2" then
                        report "RESULT MISMATCH! Test case 3 failed" severity ERROR;
                        report "RESULT MISMATCH! Test case 3 failed" severity ERROR;
                        assert false severity failure;
                        assert false severity failure;
                else
                else
                        report "Test case 3 successful" severity note;
                        report "Test case 3 successful" severity note;
                end if;
                end if;
 
 
      -- insert stimulus here 
      -- insert stimulus here 
                assert false severity failure;
                assert false severity failure;
   end process;
   end process;
 
 
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.