OpenCores
URL https://opencores.org/ocsvn/product_code_iterative_decoder/product_code_iterative_decoder/trunk

Subversion Repositories product_code_iterative_decoder

[/] [product_code_iterative_decoder/] [trunk/] [bench/] [modelsim_bench.vhdl] - Diff between revs 14 and 18

Only display areas with differences | Details | Blame | View Log

Rev 14 Rev 18
-- $Id: modelsim_bench.vhdl,v 1.1.1.1 2005-11-15 01:51:28 arif_endro Exp $
-- ------------------------------------------------------------------------
-------------------------------------------------------------------------------
 
-- Title       : Test bench top modules.
 
-- Project     : 
 
-------------------------------------------------------------------------------
 
-- File        : modelsim_bench.vhdl
 
-- Author      : "Arif E. Nugroho" <arif_endro@yahoo.com>
 
-- Created     : 2005/11/01
 
-- Last update : 
 
-- Simulators  :
 
-- Synthesizers: 
 
-- Target      : 
 
-------------------------------------------------------------------------------
 
-- Description : Top modules for test bench.
 
-------------------------------------------------------------------------------
 
-- Copyright (C) 2005 Arif Endro Nugroho
-- Copyright (C) 2005 Arif Endro Nugroho
-------------------------------------------------------------------------------
-- All rights reserved.
-- 
-- 
--      THIS SOURCE FILE MAY BE USED AND DISTRIBUTED WITHOUT RESTRICTION
-- Redistribution and use in source and binary forms, with or without
-- PROVIDED THAT THIS COPYRIGHT STATEMENT IS NOT REMOVED FROM THE FILE AND THAT
-- modification, are permitted provided that the following conditions
-- ANY DERIVATIVE WORK CONTAINS THE ORIGINAL COPYRIGHT NOTICE AND THE
-- are met:
-- ASSOCIATED DISCLAIMER.
 
-- 
-- 
-------------------------------------------------------------------------------
-- 1. Redistributions of source code must retain the above copyright
 
--    notice, this list of conditions and the following disclaimer.
 
-- 2. Redistributions in binary form must reproduce the above copyright
 
--    notice, this list of conditions and the following disclaimer in the
 
--    documentation and/or other materials provided with the distribution.
-- 
-- 
--      THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY EXPRESS OR
-- THIS SOFTWARE IS PROVIDED BY ARIF ENDRO NUGROHO "AS IS" AND ANY EXPRESS
-- IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
-- OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
-- MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED.  IN NO
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
-- EVENT SHALL THE AUTHOR BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
-- DISCLAIMED. IN NO EVENT SHALL ARIF ENDRO NUGROHO BE LIABLE FOR ANY
-- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
-- PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
-- DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS
-- OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
-- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION)
-- WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
-- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
-- OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
-- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
 
-- POSSIBILITY OF SUCH DAMAGE.
-- 
-- 
-------------------------------------------------------------------------------
-- End Of License.
 
-- ------------------------------------------------------------------------
 
 
library ieee;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_unsigned.all;
 
 
entity modelsim_bench is
entity modelsim_bench is
   port (
   port (
      y0d : out bit;
      y0d : out bit;
      y1d : out bit;
      y1d : out bit;
      y2d : out bit;
      y2d : out bit;
      y3d : out bit
      y3d : out bit
      );
      );
end modelsim_bench;
end modelsim_bench;
 
 
architecture structural of modelsim_bench is
architecture structural of modelsim_bench is
 
 
   component product_code
   component product_code
      port (
      port (
         clock : in  bit;
         clock : in  bit;
         start : in  bit;
         start : in  bit;
         rxin  : in  bit_vector (07 downto 00);
         rxin  : in  bit_vector (07 downto 00);
         y0d   : out bit;
         y0d   : out bit;
         y1d   : out bit;
         y1d   : out bit;
         y2d   : out bit;
         y2d   : out bit;
         y3d   : out bit
         y3d   : out bit
         );
         );
   end component;
   end component;
 
 
   component input
   component input
      port (
      port (
         clock : out bit;
         clock : out bit;
         start : out bit;
         start : out bit;
         rxin  : out bit_vector (07 downto 00)
         rxin  : out bit_vector (07 downto 00)
         );
         );
   end component;
   end component;
 
 
   component output
   component output
      port (
      port (
         start : in bit;
         start : in bit;
         y0    : in bit;
         y0    : in bit;
         y1    : in bit;
         y1    : in bit;
         y2    : in bit;
         y2    : in bit;
         y3    : in bit
         y3    : in bit
         );
         );
   end component;
   end component;
 
 
   signal clock : bit;
   signal clock : bit;
   signal start : bit;
   signal start : bit;
   signal y0    : bit;
   signal y0    : bit;
   signal y1    : bit;
   signal y1    : bit;
   signal y2    : bit;
   signal y2    : bit;
   signal y3    : bit;
   signal y3    : bit;
   signal rxin  : bit_vector (07 downto 00);
   signal rxin  : bit_vector (07 downto 00);
 
 
   begin
   begin
 
 
   y0d <= y0;
   y0d <= y0;
   y1d <= y1;
   y1d <= y1;
   y2d <= y2;
   y2d <= y2;
   y3d <= y3;
   y3d <= y3;
 
 
   my_product_code : product_code
   my_product_code : product_code
      port map (
      port map (
         clock  => clock,
         clock  => clock,
         start  => start,
         start  => start,
         rxin   => rxin,
         rxin   => rxin,
         y0d    => y0,
         y0d    => y0,
         y1d    => y1,
         y1d    => y1,
         y2d    => y2,
         y2d    => y2,
         y3d    => y3
         y3d    => y3
         );
         );
 
 
   my_input : input
   my_input : input
      port map (
      port map (
         clock => clock,
         clock => clock,
         start => start,
         start => start,
         rxin  => rxin
         rxin  => rxin
         );
         );
 
 
   my_output : output
   my_output : output
      port map (
      port map (
         start => start,
         start => start,
         y0    => y0,
         y0    => y0,
         y1    => y1,
         y1    => y1,
         y2    => y2,
         y2    => y2,
         y3    => y3
         y3    => y3
         );
         );
 
 
end structural;
end structural;
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.