OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [BFM/] [src/] [SPI/] [spi_sequence_item.svh] - Diff between revs 47 and 48

Go to most recent revision | Only display areas with differences | Details | Blame | View Log

Rev 47 Rev 48
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
////                                                              ////
////                                                              ////
//// Copyright (C) 2018 Authors and OPENCORES.ORG                 ////
//// Copyright (C) 2018 Authors and OPENCORES.ORG                 ////
////                                                              ////
////                                                              ////
//// This source file may be used and distributed without         ////
//// This source file may be used and distributed without         ////
//// restriction provided that this copyright statement is not    ////
//// restriction provided that this copyright statement is not    ////
//// removed from the file and that any derivative work contains  ////
//// removed from the file and that any derivative work contains  ////
//// the original copyright notice and the associated disclaimer. ////
//// the original copyright notice and the associated disclaimer. ////
////                                                              ////
////                                                              ////
//// This source file is free software; you can redistribute it   ////
//// This source file is free software; you can redistribute it   ////
//// and/or modify it under the terms of the GNU Lesser General   ////
//// and/or modify it under the terms of the GNU Lesser General   ////
//// Public License as published by the Free Software Foundation; ////
//// Public License as published by the Free Software Foundation; ////
//// either version 2.1 of the License, or (at your option) any   ////
//// either version 2.1 of the License, or (at your option) any   ////
//// later version.                                               ////
//// later version.                                               ////
////                                                              ////
////                                                              ////
//// This source is distributed in the hope that it will be       ////
//// This source is distributed in the hope that it will be       ////
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
//// PURPOSE.  See the GNU Lesser General Public License for more ////
//// PURPOSE.  See the GNU Lesser General Public License for more ////
//// details.                                                     ////
//// details.                                                     ////
////                                                              ////
////                                                              ////
//// You should have received a copy of the GNU Lesser General    ////
//// You should have received a copy of the GNU Lesser General    ////
//// Public License along with this source; if not, download it   ////
//// Public License along with this source; if not, download it   ////
//// from http://www.opencores.org/lgpl.shtml                     ////
//// from http://www.opencores.org/lgpl.shtml                     ////
////                                                              ////
////                                                              ////
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
class spi_sequence_item
class spi_sequence_item
  extends uvm_sequence_item;
  extends uvm_sequence_item;
  `uvm_object_utils(spi_sequence_item)
  `uvm_object_utils(spi_sequence_item)
  // --------------------------------------------------------------------
  // --------------------------------------------------------------------
  rand int data_width; // data size in bits
  rand int data_width; // data size in bits
  // --------------------------------------------------------------------
  // --------------------------------------------------------------------
  logic mi_data[]; // data from slave to master
  logic miso_data[]; // data from slave to master
  logic mo_data[]; // data from master to slave
  logic mosi_data[]; // data from master to slave
 
  bit read;
 
  bit write;
 
 
  // --------------------------------------------------------------------
  // --------------------------------------------------------------------
  function new(string name = "");
  function new(string name = "");
    super.new(name);
    super.new(name);
  endfunction : new
  endfunction : new
  // --------------------------------------------------------------------
  // --------------------------------------------------------------------
  function void init(int data_width = 16 * 16);
  function void init(bit read, bit write, int data_width);
 
    this.read = read;
 
    this.write = write;
    this.data_width = data_width;
    this.data_width = data_width;
    this.mi_data = new[data_width];
    this.miso_data = new[data_width];
    this.mo_data = new[data_width];
    this.mosi_data = new[data_width];
 
 
    foreach(this.mo_data[i])
    if(write)
      mo_data[i] = 0;
      foreach(this.mosi_data[i])
 
        mosi_data[i] = 0;
  endfunction : init
  endfunction : init
  // // --------------------------------------------------------------------
  // // --------------------------------------------------------------------
  // function bit do_compare(uvm_object rhs, uvm_comparer comparer);
  // function bit do_compare(uvm_object rhs, uvm_comparer comparer);
    // spi_sequence_item tested;
    // spi_sequence_item tested;
    // bit same;
    // bit same;
    // if (rhs==null)
    // if (rhs==null)
      // `uvm_fatal(get_type_name(), "| %m | comparison to a null pointer");
      // `uvm_fatal(get_type_name(), "| %m | comparison to a null pointer");
    // if (!$cast(tested,rhs))
    // if (!$cast(tested,rhs))
      // same = 0;
      // same = 0;
    // else
    // else
      // same  = super.do_compare(rhs, comparer);
      // same  = super.do_compare(rhs, comparer);
    // return same;
    // return same;
  // endfunction : do_compare
  // endfunction : do_compare
  // // --------------------------------------------------------------------
  // // --------------------------------------------------------------------
  // function void do_copy(uvm_object rhs);
  // function void do_copy(uvm_object rhs);
    // spi_sequence_item item;
    // spi_sequence_item item;
    // assert(rhs != null) else
    // assert(rhs != null) else
      // `uvm_fatal(get_type_name(), "| %m | copy null transaction");
      // `uvm_fatal(get_type_name(), "| %m | copy null transaction");
    // super.do_copy(rhs);
    // super.do_copy(rhs);
    // assert($cast(item,rhs)) else
    // assert($cast(item,rhs)) else
      // `uvm_fatal(get_type_name(), "| %m | failed cast");
      // `uvm_fatal(get_type_name(), "| %m | failed cast");
    // delay     = item.delay;
    // delay     = item.delay;
    // command   = item.command;
    // command   = item.command;
    // wr_full   = item.wr_full;
    // wr_full   = item.wr_full;
    // rd_empty  = item.rd_empty;
    // rd_empty  = item.rd_empty;
    // wr_data   = item.wr_data;
    // wr_data   = item.wr_data;
    // rd_data   = item.rd_data;
    // rd_data   = item.rd_data;
    // count     = item.count;
    // count     = item.count;
  // endfunction : do_copy
  // endfunction : do_copy
 
 
  // // --------------------------------------------------------------------
  // --------------------------------------------------------------------
  // function string convert2string();
  function string convert2string();
    // string s0, s1, s2, s3;
    string s0, s1, s2, s3;
    // s0 = $sformatf( "| %m | wr | rd | full | empty |\n");
    byte data[];
    // s1 = $sformatf( "| %m | %1h  | %1h  | %1h    | %1h     |\n"
 
                  // , (command == FIFO_WR) || (command == FIFO_BOTH)
    s0 = $sformatf( "\n| %m | rd | wr | data width |\n");
                  // , (command == FIFO_RD) || (command == FIFO_BOTH)
    s1 = $sformatf( "| %m | %1h  | %1h  | %d |\n"
                  // , wr_full
                  , read
                  // , rd_empty
                  , write
                  // );
                  , data_width
    // s2 = $sformatf("| %m | wr_data: %h\n" , wr_data);
                  );
    // s3 = $sformatf("| %m | rd_data: %h\n" , rd_data);
    s0 = {s0, s1};
 
 
    // if(command == FIFO_NULL)
    if(read)
      // return {s1, s0};
    begin
    // else if(command == FIFO_BOTH)
      data = {>>{miso_data}};
      // return {s3, s2, s1, s0};
 
    // else if(command == FIFO_WR)
      foreach(data[i])
      // return {s2, s1, s0};
        s2 = {s2, $sformatf("%2h|", data[i])};
    // else if(command == FIFO_RD)
 
      // return {s3, s1, s0};
      s2 = $sformatf("| %m | miso_data: |%s\n" , s2);
  // endfunction : convert2string
      s0 = {s0, s2};
 
    end
 
 
 
    if(write)
 
    begin
 
      data = {>>{mosi_data}};
 
 
 
      foreach(data[i])
 
        s3 = {s3, $sformatf("%2h|", data[i])};
 
 
 
      s3 = $sformatf("| %m | mosi_data: |%s\n" , s3);
 
      s0 = {s0, s3};
 
    end
 
 
 
    return s0;
 
  endfunction : convert2string
 
 
// --------------------------------------------------------------------
// --------------------------------------------------------------------
endclass : spi_sequence_item
endclass : spi_sequence_item
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.