OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [BFM/] [src/] [SPI/] [spi_sequence_item.svh] - Diff between revs 48 and 50

Only display areas with differences | Details | Blame | View Log

Rev 48 Rev 50
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
////                                                              ////
////                                                              ////
//// Copyright (C) 2018 Authors and OPENCORES.ORG                 ////
//// Copyright (C) 2018 Authors and OPENCORES.ORG                 ////
////                                                              ////
////                                                              ////
//// This source file may be used and distributed without         ////
//// This source file may be used and distributed without         ////
//// restriction provided that this copyright statement is not    ////
//// restriction provided that this copyright statement is not    ////
//// removed from the file and that any derivative work contains  ////
//// removed from the file and that any derivative work contains  ////
//// the original copyright notice and the associated disclaimer. ////
//// the original copyright notice and the associated disclaimer. ////
////                                                              ////
////                                                              ////
//// This source file is free software; you can redistribute it   ////
//// This source file is free software; you can redistribute it   ////
//// and/or modify it under the terms of the GNU Lesser General   ////
//// and/or modify it under the terms of the GNU Lesser General   ////
//// Public License as published by the Free Software Foundation; ////
//// Public License as published by the Free Software Foundation; ////
//// either version 2.1 of the License, or (at your option) any   ////
//// either version 2.1 of the License, or (at your option) any   ////
//// later version.                                               ////
//// later version.                                               ////
////                                                              ////
////                                                              ////
//// This source is distributed in the hope that it will be       ////
//// This source is distributed in the hope that it will be       ////
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
//// PURPOSE.  See the GNU Lesser General Public License for more ////
//// PURPOSE.  See the GNU Lesser General Public License for more ////
//// details.                                                     ////
//// details.                                                     ////
////                                                              ////
////                                                              ////
//// You should have received a copy of the GNU Lesser General    ////
//// You should have received a copy of the GNU Lesser General    ////
//// Public License along with this source; if not, download it   ////
//// Public License along with this source; if not, download it   ////
//// from http://www.opencores.org/lgpl.shtml                     ////
//// from http://www.opencores.org/lgpl.shtml                     ////
////                                                              ////
////                                                              ////
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
class spi_sequence_item
class spi_sequence_item
  extends uvm_sequence_item;
  extends uvm_sequence_item;
  `uvm_object_utils(spi_sequence_item)
  `uvm_object_utils(spi_sequence_item)
  // --------------------------------------------------------------------
  // --------------------------------------------------------------------
  rand int data_width; // data size in bits
  rand int data_width; // data size in bits
  // --------------------------------------------------------------------
  // --------------------------------------------------------------------
  logic miso_data[]; // data from slave to master
  logic miso_data[]; // data from slave to master
  logic mosi_data[]; // data from master to slave
  logic mosi_data[]; // data from master to slave
 
  int ss_index = 0;
  bit read;
  bit read;
  bit write;
  bit write;
  // --------------------------------------------------------------------
  // --------------------------------------------------------------------
  function new(string name = "");
  function new(string name = "");
    super.new(name);
    super.new(name);
  endfunction : new
  endfunction : new
  // --------------------------------------------------------------------
  // --------------------------------------------------------------------
  function void init(bit read, bit write, int data_width);
  function void init(bit read, bit write, int data_width);
    this.read = read;
    this.read = read;
    this.write = write;
    this.write = write;
    this.data_width = data_width;
    this.data_width = data_width;
    this.miso_data = new[data_width];
    this.miso_data = new[data_width];
    this.mosi_data = new[data_width];
    this.mosi_data = new[data_width];
    if(write)
    if(write)
      foreach(this.mosi_data[i])
      foreach(this.mosi_data[i])
        mosi_data[i] = 0;
        mosi_data[i] = 0;
  endfunction : init
  endfunction : init
 
 
  // // --------------------------------------------------------------------
  // --------------------------------------------------------------------
  // function bit do_compare(uvm_object rhs, uvm_comparer comparer);
  function void load_mosi_from_file(string file_name);
    // spi_sequence_item tested;
    byte buffer;
    // bit same;
    integer fd;
 
    integer code;
    // if (rhs==null)
    integer size;
      // `uvm_fatal(get_type_name(), "| %m | comparison to a null pointer");
 
 
    fd = $fopen(file_name, "rb");
    // if (!$cast(tested,rhs))
    code = $fseek(fd, 0, 2); // SEEK_END
      // same = 0;
    size = $ftell(fd);
    // else
    code = $rewind(fd);
      // same  = super.do_compare(rhs, comparer);
    data_width = size*8;
 
    mosi_data = new[data_width];
    // return same;
    write = 1;
  // endfunction : do_compare
 
 
    for(int i = 0; i < size; i++) begin
  // // --------------------------------------------------------------------
      code = $fread(buffer, fd);
  // function void do_copy(uvm_object rhs);
      mosi_data[i*8 +: 8] = {>>{buffer}};
    // spi_sequence_item item;
    end
    // assert(rhs != null) else
 
      // `uvm_fatal(get_type_name(), "| %m | copy null transaction");
    $fclose(fd);
    // super.do_copy(rhs);
  endfunction
    // assert($cast(item,rhs)) else
 
      // `uvm_fatal(get_type_name(), "| %m | failed cast");
  // --------------------------------------------------------------------
    // delay     = item.delay;
  function void load_mosi_from_byte_array(byte byte_array[]);
    // command   = item.command;
    foreach(byte_array[i])
    // wr_full   = item.wr_full;
      mosi_data[i*8 +: 8] = {>>{byte_array[i]}};
    // rd_empty  = item.rd_empty;
  endfunction
    // wr_data   = item.wr_data;
 
    // rd_data   = item.rd_data;
 
    // count     = item.count;
 
  // endfunction : do_copy
 
 
 
  // --------------------------------------------------------------------
  // --------------------------------------------------------------------
  function string convert2string();
  function string convert2string();
    string s0, s1, s2, s3;
    string s0, s1, s2, s3;
    byte data[];
    byte data[];
    s0 = $sformatf( "\n| %m | rd | wr | data width |\n");
    s0 = $sformatf( "\n| %m | rd | wr | data width |\n");
    s1 = $sformatf( "| %m | %1h  | %1h  | %d |\n"
    s1 = $sformatf( "| %m | %1h  | %1h  | %d |\n"
                  , read
                  , read
                  , write
                  , write
                  , data_width
                  , data_width
                  );
                  );
    s0 = {s0, s1};
    s0 = {s0, s1};
 
 
    if(read)
    if(read) begin
    begin
 
      data = {>>{miso_data}};
      data = {>>{miso_data}};
      foreach(data[i])
      foreach(data[i])
        s2 = {s2, $sformatf("%2h|", data[i])};
        s2 = {s2, $sformatf("%2h|", data[i])};
      s2 = $sformatf("| %m | miso_data: |%s\n" , s2);
      s2 = $sformatf("| %m | miso_data: |%s\n" , s2);
      s0 = {s0, s2};
      s0 = {s0, s2};
    end
    end
 
 
    if(write)
    if(write) begin
    begin
 
      data = {>>{mosi_data}};
      data = {>>{mosi_data}};
      foreach(data[i])
      foreach(data[i])
        s3 = {s3, $sformatf("%2h|", data[i])};
        s3 = {s3, $sformatf("%2h|", data[i])};
      s3 = $sformatf("| %m | mosi_data: |%s\n" , s3);
      s3 = $sformatf("| %m | mosi_data: |%s\n" , s3);
      s0 = {s0, s3};
      s0 = {s0, s3};
    end
    end
    return s0;
    return s0;
  endfunction : convert2string
  endfunction : convert2string
// --------------------------------------------------------------------
// --------------------------------------------------------------------
endclass : spi_sequence_item
endclass
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.