OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp_sgdma/] [arithpack.vhd] - Diff between revs 219 and 229

Go to most recent revision | Only display areas with differences | Details | Blame | View Log

Rev 219 Rev 229
library ieee;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_arith.all;
use ieee.math_real.all;
use ieee.math_real.all;
 
 
library std;
library std;
use std.textio.all;
use std.textio.all;
 
 
 
 
 
 
--! Memory Compiler Library
--! Memory Compiler Library
library altera_mf;
library altera_mf;
use altera_mf.all;
use altera_mf.all;
library lpm;
library lpm;
use lpm.all;
use lpm.all;
 
 
 
 
 
 
package arithpack is
package arithpack is
 
 
        --!Constantes usadas por los RTLs
        --!Constantes usadas por los RTLs
        constant qz : integer := 00;constant qy : integer := 01;constant qx : integer := 02;constant qsc: integer := 03;
        --constant qz : integer := 00;constant qy : integer := 01;constant qx : integer := 02;constant qsc: integer := 03;
        constant az : integer := 00;constant ay : integer := 01;constant ax : integer := 02;constant bz : integer := 03;constant by : integer := 04;constant bx : integer := 05;
        --constant az : integer := 00;constant ay : integer := 01;constant ax : integer := 02;constant bz : integer := 03;constant by : integer := 04;constant bx : integer := 05;
        constant f0     : integer := 00;constant f1 : integer := 01;constant f2 : integer := 02;constant f3 : integer := 03;constant f4 : integer := 04;constant f5 : integer := 05;
        --constant f0   : integer := 00;constant f1 : integer := 01;constant f2 : integer := 02;constant f3 : integer := 03;constant f4 : integer := 04;constant f5 : integer := 05;
        constant f6     : integer := 06;constant f7 : integer := 07;constant f8 : integer := 08;constant f9 : integer := 09;constant f10: integer := 10;constant f11: integer := 11;
        --constant f6   : integer := 06;constant f7 : integer := 07;constant f8 : integer := 08;constant f9 : integer := 09;constant f10: integer := 10;constant f11: integer := 11;
        constant s0     : integer := 00;constant s1 : integer := 01;constant s2 : integer := 02;constant s3 : integer := 03;constant s4 : integer := 04;constant s5 : integer := 05;
        --constant s0   : integer := 00;constant s1 : integer := 01;constant s2 : integer := 02;constant s3 : integer := 03;constant s4 : integer := 04;constant s5 : integer := 05;
        constant a0     : integer := 00;constant a1 : integer := 01;constant a2 : integer := 02;
        --constant a0   : integer := 00;constant a1 : integer := 01;constant a2 : integer := 02; 
        constant p0     : integer := 00;constant p1 : integer := 01;constant p2 : integer := 02;constant p3 : integer := 03;constant p4 : integer := 04;constant p5 : integer := 05;
        --constant p0   : integer := 00;constant p1 : integer := 01;constant p2 : integer := 02;constant p3 : integer := 03;constant p4 : integer := 04;constant p5 : integer := 05;
 
 
 
 
        subtype xfloat32 is std_logic_vector(31 downto 0);
        subtype xfloat32 is std_logic_vector(31 downto 0);
        type    v3f     is array(02 downto 0) of xfloat32;
        type    v3f     is array(02 downto 0) of xfloat32;
 
 
        --! Constantes para definir bloques de valores de 32 bits single float
        --! Constantes para definir bloques de valores de 32 bits single float
        type    vectorblock12 is array (11 downto 0) of xfloat32;
        type    vectorblock12 is array (11 downto 0) of xfloat32;
        type    vectorblock08 is array (07 downto 0) of xfloat32;
        type    vectorblock08 is array (07 downto 0) of xfloat32;
        type    vectorblock06 is array (05 downto 0) of xfloat32;
        type    vectorblock06 is array (05 downto 0) of xfloat32;
        type    vectorblock04 is array (03 downto 0) of xfloat32;
        type    vectorblock04 is array (03 downto 0) of xfloat32;
        type    vectorblock03 is array (02 downto 0) of xfloat32;
        type    vectorblock03 is array (02 downto 0) of xfloat32;
        type    vectorblock02 is array (01 downto 0) of xfloat32;
        type    vectorblock02 is array (01 downto 0) of xfloat32;
 
 
        --! Constante de reseteo
        --! Constante de reseteo
        constant rstMasterValue : std_logic :='0';
        constant rstMasterValue : std_logic :='0';
 
 
        --! Constantes periodicas.
        --! Constantes periodicas.
        constant tclk   : time := 20 ns;
        constant tclk   : time := 20 ns;
        constant tclk_2 : time := tclk/2;
        constant tclk_2 : time := tclk/2;
        constant tclk_4 : time := tclk/4;
        constant tclk_4 : time := tclk/4;
 
 
 
 
 
 
 
 
        type apCamera is record
        type apCamera is record
                resx,resy : integer;
                resx,resy : integer;
                width,height : real;
                width,height : real;
                dist : real;
                dist : real;
        end record;
        end record;
 
 
        --! Función que convierte un std_logic_vector en un numero entero
        --! Función que convierte un std_logic_vector en un numero entero
        function ap_slv2int(sl:std_logic_vector) return integer;
        function ap_slv2int(sl:std_logic_vector) return integer;
 
 
        --! Función que convierte un número flotante IEE754 single float, en un número std_logic_vector.
        --! Función que convierte un número flotante IEE754 single float, en un número std_logic_vector.
        function ap_fp2slv (f:real) return std_logic_vector;
        function ap_fp2slv (f:real) return std_logic_vector;
 
 
        --! Función que convierte un número std_logic_vector en un ieee754 single float.
        --! Función que convierte un número std_logic_vector en un ieee754 single float.
        function ap_slv2fp (sl:std_logic_vector) return real;
        function ap_slv2fp (sl:std_logic_vector) return real;
 
 
        --! Función que devuelve un vector en punto flotante IEEE754 a través de un   
        --! Función que devuelve un vector en punto flotante IEEE754 a través de un   
        function ap_slv_calc_xyvec (x,y:integer; cam:apCamera) return v3f;
        function ap_slv_calc_xyvec (x,y:integer; cam:apCamera) return v3f;
 
 
        --! Función que devuelve una cadena con el número flotante IEEE 754 ó a una cadena de cifras hexadecimales.
        --! Función que devuelve una cadena con el número flotante IEEE 754 ó a una cadena de cifras hexadecimales.
        procedure ap_slvf2string(l:inout line;sl:std_logic_vector);
        procedure ap_slvf2string(l:inout line;sl:std_logic_vector);
        procedure ap_slv2hex (l:inout line;h:in std_logic_vector) ;
        procedure ap_slv2hex (l:inout line;h:in std_logic_vector) ;
 
 
 
 
 
 
        --! Función que devuelve una cadena con los componentes de un vector R3 en punto flotante IEEE754        
        --! Función que devuelve una cadena con los componentes de un vector R3 en punto flotante IEEE754        
        procedure ap_v3f2string(l:inout line;v:in v3f);
        procedure ap_v3f2string(l:inout line;v:in v3f);
        procedure ap_xfp032string(l:inout line;vb03:in vectorblock03);
        procedure ap_xfp032string(l:inout line;vb03:in vectorblock03);
 
 
        --! Función que formatea una instrucción
        --! Función que formatea una instrucción
        function ap_format_instruction(i:string;ac_o,ac_f,bd_o,bd_f:std_logic_vector;comb:std_logic) return std_logic_vector;
        function ap_format_instruction(i:string;ac_o,ac_f,bd_o,bd_f:std_logic_vector;comb:std_logic) return std_logic_vector;
 
 
        --! Función que devuelve una cadena de caracteres de un solo caracter con el valor de un bit std_logic
        --! Función que devuelve una cadena de caracteres de un solo caracter con el valor de un bit std_logic
        procedure ap_sl2string(l:inout line;s:std_logic);
        procedure ap_sl2string(l:inout line;s:std_logic);
 
 
        --! Procedimiento para mostrar vectores en forma de arreglos de flotantes
        --! Procedimiento para mostrar vectores en forma de arreglos de flotantes
        procedure ap_xfp122string(l:inout line;vb12:in vectorblock12);
        procedure ap_xfp122string(l:inout line;vb12:in vectorblock12);
        procedure ap_xfp082string(l:inout line;vb08:in vectorblock08);
        procedure ap_xfp082string(l:inout line;vb08:in vectorblock08);
        procedure ap_xfp062string(l:inout line;vb06:in vectorblock06);
        procedure ap_xfp062string(l:inout line;vb06:in vectorblock06);
        procedure ap_xfp042string(l:inout line;vb04:in vectorblock04);
        procedure ap_xfp042string(l:inout line;vb04:in vectorblock04);
        procedure ap_xfp022string(l:inout line;vb02:in vectorblock02);
        procedure ap_xfp022string(l:inout line;vb02:in vectorblock02);
 
 
 
 
end package;
end package;
 
 
 
 
package body arithpack is
package body arithpack is
 
 
        procedure ap_xfp022string(l:inout line; vb02:in vectorblock02) is
        procedure ap_xfp022string(l:inout line; vb02:in vectorblock02) is
        begin
        begin
                for i in 01 downto 0 loop
                for i in 01 downto 0 loop
                        write(l,string'(" ["&integer'image(i)&"]"));
                        write(l,string'(" ["&integer'image(i)&"]"));
                        write(l,string'(" "));
                        write(l,string'(" "));
                        ap_slvf2string(l,vb02(i));
                        ap_slvf2string(l,vb02(i));
                end loop;
                end loop;
 
 
        end procedure;
        end procedure;
        procedure ap_xfp122string(l:inout line; vb12:in vectorblock12) is
        procedure ap_xfp122string(l:inout line; vb12:in vectorblock12) is
 
 
        begin
        begin
                for i in 11 downto 0 loop
                for i in 11 downto 0 loop
                        write(l,string'(" ["&integer'image(i)&"]"));
                        write(l,string'(" ["&integer'image(i)&"]"));
                        write(l,string'(" "));
                        write(l,string'(" "));
                        ap_slvf2string(l,vb12(i));
                        ap_slvf2string(l,vb12(i));
                end loop;
                end loop;
        end procedure;
        end procedure;
 
 
        procedure ap_xfp082string(l:inout line; vb08:in vectorblock08) is
        procedure ap_xfp082string(l:inout line; vb08:in vectorblock08) is
 
 
        begin
        begin
                for i in 07 downto 0 loop
                for i in 07 downto 0 loop
                        write(l,string'(" ["&integer'image(i)&"]"));
                        write(l,string'(" ["&integer'image(i)&"]"));
                        write(l,string'(" "));
                        write(l,string'(" "));
                        ap_slvf2string(l,vb08(i));
                        ap_slvf2string(l,vb08(i));
                end loop;
                end loop;
        end procedure;
        end procedure;
 
 
        procedure ap_xfp062string(l:inout line; vb06:in vectorblock06) is
        procedure ap_xfp062string(l:inout line; vb06:in vectorblock06) is
 
 
        begin
        begin
                for i in 05 downto 0 loop
                for i in 05 downto 0 loop
                        write(l,string'(" ["&integer'image(i)&"]"));
                        write(l,string'(" ["&integer'image(i)&"]"));
                        write(l,string'(" "));
                        write(l,string'(" "));
                        ap_slvf2string(l,vb06(i));
                        ap_slvf2string(l,vb06(i));
                end loop;
                end loop;
        end procedure;
        end procedure;
 
 
        procedure ap_xfp042string(l:inout line; vb04:in vectorblock04) is
        procedure ap_xfp042string(l:inout line; vb04:in vectorblock04) is
 
 
        begin
        begin
                for i in 03 downto 0 loop
                for i in 03 downto 0 loop
                        write(l,string'(" ["&integer'image(i)&"]"));
                        write(l,string'(" ["&integer'image(i)&"]"));
                        write(l,string'(" "));
                        write(l,string'(" "));
                        ap_slvf2string(l,vb04(i));
                        ap_slvf2string(l,vb04(i));
                end loop;
                end loop;
        end procedure;
        end procedure;
 
 
 
 
        procedure ap_sl2string(l:inout line; s:in std_logic)is
        procedure ap_sl2string(l:inout line; s:in std_logic)is
                variable tmp:string(1 to 1);
                variable tmp:string(1 to 1);
        begin
        begin
 
 
                case s is
                case s is
                        when '1' =>
                        when '1' =>
                                tmp:="1";
                                tmp:="1";
                        when '0' =>
                        when '0' =>
                                tmp:="0";
                                tmp:="0";
                        when 'U' =>
                        when 'U' =>
                                tmp:="U";
                                tmp:="U";
                        when 'X' =>
                        when 'X' =>
                                tmp:="X";
                                tmp:="X";
                        when 'Z' =>
                        when 'Z' =>
                                tmp:="Z";
                                tmp:="Z";
                        when 'W' =>
                        when 'W' =>
                                tmp:="W";
                                tmp:="W";
                        when 'L' =>
                        when 'L' =>
                                tmp:="L";
                                tmp:="L";
                        when 'H' =>
                        when 'H' =>
                                tmp:="H";
                                tmp:="H";
                        when others =>
                        when others =>
                                tmp:="-"; -- Don't care
                                tmp:="-"; -- Don't care
                end case;
                end case;
                write(l,string'(" "));
                write(l,string'(" "));
                write(l,string'(tmp));
                write(l,string'(tmp));
                write(l,string'(" "));
                write(l,string'(" "));
 
 
 
 
 
 
        end procedure;
        end procedure;
 
 
        function ap_format_instruction(i:string;ac_o,ac_f,bd_o,bd_f:std_logic_vector;comb:std_logic) return std_logic_vector is
        function ap_format_instruction(i:string;ac_o,ac_f,bd_o,bd_f:std_logic_vector;comb:std_logic) return std_logic_vector is
 
 
                alias aco : std_logic_vector (4 downto 0) is ac_o;
                alias aco : std_logic_vector (4 downto 0) is ac_o;
                alias acf : std_logic_vector (4 downto 0) is ac_f;
                alias acf : std_logic_vector (4 downto 0) is ac_f;
                alias bdo : std_logic_vector (4 downto 0) is bd_o;
                alias bdo : std_logic_vector (4 downto 0) is bd_o;
                alias bdf : std_logic_vector (4 downto 0) is bd_f;
                alias bdf : std_logic_vector (4 downto 0) is bd_f;
                variable ins : std_logic_vector (31 downto 0);
                variable ins : std_logic_vector (31 downto 0);
                alias it : string (1 to 3) is i;
                alias it : string (1 to 3) is i;
        begin
        begin
 
 
                case it is
                case it is
                        when "mag" =>
                        when "mag" =>
                                ins(31 downto 29) := "100";
                                ins(31 downto 29) := "100";
                                ins(04 downto 00) := '1'&x"8";
                                ins(04 downto 00) := '1'&x"8";
                        when "nrm" =>
                        when "nrm" =>
                                ins(31 downto 29) := "110";
                                ins(31 downto 29) := "110";
                                ins(04 downto 00) := '1'&x"d";
                                ins(04 downto 00) := '1'&x"d";
                        when "add" =>
                        when "add" =>
                                ins(31 downto 29) := "001";
                                ins(31 downto 29) := "001";
                                ins(04 downto 00) := '0'&x"a";
                                ins(04 downto 00) := '0'&x"a";
                        when "sub" =>
                        when "sub" =>
                                ins(31 downto 29) := "011";
                                ins(31 downto 29) := "011";
                                ins(04 downto 00) := '0'&x"a";
                                ins(04 downto 00) := '0'&x"a";
                        when "dot" =>
                        when "dot" =>
                                ins(31 downto 29) := "000";
                                ins(31 downto 29) := "000";
                                ins(04 downto 00) := '1'&x"7";
                                ins(04 downto 00) := '1'&x"7";
                        when "crs" =>
                        when "crs" =>
                                ins(31 downto 29) := "010";
                                ins(31 downto 29) := "010";
                                ins(04 downto 00) := '0'&x"e";
                                ins(04 downto 00) := '0'&x"e";
                        when others =>
                        when others =>
                                ins(31 downto 29) := "111";
                                ins(31 downto 29) := "111";
                                ins(04 downto 00) := '0'&x"5";
                                ins(04 downto 00) := '0'&x"5";
                end case;
                end case;
                ins(28 downto 24) := aco;
                ins(28 downto 24) := aco;
                ins(23 downto 19) := acf;
                ins(23 downto 19) := acf;
                ins(18 downto 14) := bdo;
                ins(18 downto 14) := bdo;
                ins(13 downto 09) := bdf;
                ins(13 downto 09) := bdf;
                ins(08) := comb;
                ins(08) := comb;
                ins(07 downto 05) := "000";
                ins(07 downto 05) := "000";
                return ins;
                return ins;
 
 
 
 
        end function;
        end function;
 
 
 
 
 
 
        procedure ap_v3f2string(l:inout line;v:in v3f) is
        procedure ap_v3f2string(l:inout line;v:in v3f) is
        begin
        begin
                write(l,string'("[X]"));
                write(l,string'("[X]"));
                write(l,string'(" "));
                write(l,string'(" "));
                ap_slvf2string(l,v(2));
                ap_slvf2string(l,v(2));
                write(l,string'("[Y]"));
                write(l,string'("[Y]"));
                write(l,string'(" "));
                write(l,string'(" "));
                ap_slvf2string(l,v(1));
                ap_slvf2string(l,v(1));
                write(l,string'("[Z]"));
                write(l,string'("[Z]"));
                write(l,string'(" "));
                write(l,string'(" "));
                ap_slvf2string(l,v(0));
                ap_slvf2string(l,v(0));
        end procedure;
        end procedure;
        procedure ap_xfp032string(l:inout line;vb03:in vectorblock03) is
        procedure ap_xfp032string(l:inout line;vb03:in vectorblock03) is
        begin
        begin
                write(l,string'("[X]"));
                write(l,string'("[X]"));
                write(l,string'(" "));
                write(l,string'(" "));
                ap_slvf2string(l,vb03(2));
                ap_slvf2string(l,vb03(2));
                write(l,string'("[Y]"));
                write(l,string'("[Y]"));
                write(l,string'(" "));
                write(l,string'(" "));
                ap_slvf2string(l,vb03(1));
                ap_slvf2string(l,vb03(1));
                write(l,string'("[Z]"));
                write(l,string'("[Z]"));
                write(l,string'(" "));
                write(l,string'(" "));
                ap_slvf2string(l,vb03(0));
                ap_slvf2string(l,vb03(0));
        end procedure;
        end procedure;
 
 
        constant hexchars : string (1 to 16) := "0123456789ABCDEF";
        constant hexchars : string (1 to 16) := "0123456789ABCDEF";
        procedure ap_slv2hex (l:inout line;h:in std_logic_vector) is
        procedure ap_slv2hex (l:inout line;h:in std_logic_vector) is
                variable index_high,index_low,highone,nc : integer;
                variable index_high,index_low,highone,nc : integer;
        begin
        begin
                highone := h'high-h'low;
                highone := h'high-h'low;
                nc:=0;
                nc:=0;
                for i in h'high downto h'low loop
                for i in h'high downto h'low loop
                        if h(i)/='0' and h(i)/='1' then
                        if h(i)/='0' and h(i)/='1' then
                                nc:=1;
                                nc:=1;
                        end if;
                        end if;
                end loop;
                end loop;
 
 
                if nc=1 then
                if nc=1 then
                        for i in h'high downto h'low loop
                        for i in h'high downto h'low loop
                                ap_sl2string(l,h(i));
                                ap_sl2string(l,h(i));
                        end loop;
                        end loop;
                else
                else
                        for i in (highone)/4 downto 0 loop
                        for i in (highone)/4 downto 0 loop
                                index_low:=i*4;
                                index_low:=i*4;
                                if (index_low+3)>highone then
                                if (index_low+3)>highone then
                                        index_high := highone;
                                        index_high := highone;
                                else
                                else
                                        index_high := i*4+3;
                                        index_high := i*4+3;
                                end if;
                                end if;
                                write(l,hexchars(1+ieee.std_logic_unsigned.conv_integer(h(index_high+h'low downto index_low+h'low))));
                                write(l,hexchars(1+ieee.std_logic_unsigned.conv_integer(h(index_high+h'low downto index_low+h'low))));
                        end loop;
                        end loop;
                end if;
                end if;
        end procedure;
        end procedure;
 
 
        function ap_slv2int (sl:std_logic_vector) return integer is
        function ap_slv2int (sl:std_logic_vector) return integer is
                alias s : std_logic_vector (sl'high downto sl'low) is sl;
                alias s : std_logic_vector (sl'high downto sl'low) is sl;
                variable i : integer;
                variable i : integer;
        begin
        begin
                i:=0;
                i:=0;
                for index in s'high downto s'low loop
                for index in s'high downto s'low loop
                        if s(index)='1' then
                        if s(index)='1' then
                                i:=i*2+1;
                                i:=i*2+1;
                        else
                        else
                                i:=i*2;
                                i:=i*2;
                        end if;
                        end if;
                end loop;
                end loop;
                return i;
                return i;
 
 
        end function;
        end function;
        function ap_fp2slv (f:real) return std_logic_vector is
        function ap_fp2slv (f:real) return std_logic_vector is
                variable faux : real;
                variable faux : real;
                variable sef : std_logic_vector (31 downto 0);
                variable sef : std_logic_vector (31 downto 0);
        begin
        begin
                --! Signo
                --! Signo
                if (f<0.0) then
                if (f<0.0) then
                        sef(31) := '1';
                        sef(31) := '1';
                        faux:=f*(-1.0);
                        faux:=f*(-1.0);
                else
                else
                        sef(31) := '0';
                        sef(31) := '0';
                        faux:=f;
                        faux:=f;
                end if;
                end if;
 
 
                --! Exponente
                --! Exponente
                sef(30 downto 23) := conv_std_logic_vector(127+integer(floor(log(faux,2.0))),8);
                sef(30 downto 23) := conv_std_logic_vector(127+integer(floor(log(faux,2.0))),8);
 
 
                --! Fraction
                --! Fraction
                faux :=faux/(2.0**real(floor(log(faux,2.0))));
                faux :=faux/(2.0**real(floor(log(faux,2.0))));
                faux := faux - 1.0;
                faux := faux - 1.0;
 
 
                sef(22 downto 0)  := conv_std_logic_vector(integer(faux*(2.0**23.0)),23);
                sef(22 downto 0)  := conv_std_logic_vector(integer(faux*(2.0**23.0)),23);
 
 
                return sef;
                return sef;
 
 
        end function;
        end function;
 
 
        function ap_slv2fp(sl:std_logic_vector) return real is
        function ap_slv2fp(sl:std_logic_vector) return real is
                variable frc:integer;
                variable frc:integer;
                alias s: std_logic_vector(31 downto 0) is sl;
                alias s: std_logic_vector(31 downto 0) is sl;
                variable f,expo: real;
                variable f,expo: real;
 
 
        begin
        begin
 
 
 
 
                expo:=real(ap_slv2int(s(30 downto 23)) - 127);
                expo:=real(ap_slv2int(s(30 downto 23)) - 127);
                expo:=(2.0)**(expo);
                expo:=(2.0)**(expo);
                frc:=ap_slv2int('1'&s(22 downto 0));
                frc:=ap_slv2int('1'&s(22 downto 0));
                f:=real(frc)*(2.0**(-23.0));
                f:=real(frc)*(2.0**(-23.0));
                f:=f*real(expo);
                f:=f*real(expo);
 
 
                if s(31)='1' then
                if s(31)='1' then
                        return -f;
                        return -f;
                else
                else
                        return f;
                        return f;
                end if;
                end if;
 
 
 
 
 
 
 
 
        end function;
        end function;
 
 
        function ap_slv_calc_xyvec (x,y:integer; cam:apCamera) return v3f is
        function ap_slv_calc_xyvec (x,y:integer; cam:apCamera) return v3f is
 
 
 
 
                variable dx,dy : real;
                variable dx,dy : real;
                variable v : v3f;
                variable v : v3f;
        begin
        begin
 
 
                dx := cam.width/real(cam.resx);
                dx := cam.width/real(cam.resx);
                dy := cam.height/real(cam.resy);
                dy := cam.height/real(cam.resy);
 
 
                --! Eje Z: Tomando el dedo &iacute;ndice de la mano derecha, este eje queda apuntando en la direcci&on en la que mira la c&aacute;mara u observador siempre.
                --! Eje Z: Tomando el dedo &iacute;ndice de la mano derecha, este eje queda apuntando en la direcci&on en la que mira la c&aacute;mara u observador siempre.
                v(0):=ap_fp2slv(cam.dist);
                v(0):=ap_fp2slv(cam.dist);
 
 
                --! Eje X: Tomando el dedo coraz&oacute;n de la mano derecha, este eje queda apuntando a la izquierda del observador, desde el observador.
                --! Eje X: Tomando el dedo coraz&oacute;n de la mano derecha, este eje queda apuntando a la izquierda del observador, desde el observador.
                v(2):=ap_fp2slv(dx*real(cam.resx)*0.5-real(x)*dx-dx*0.5);
                v(2):=ap_fp2slv(dx*real(cam.resx)*0.5-real(x)*dx-dx*0.5);
 
 
                --! Eje Y: Tomando el dedo pulgar de la mano derecha, este eje queda apuntando hacia arriba del observador, desde el observador.
                --! Eje Y: Tomando el dedo pulgar de la mano derecha, este eje queda apuntando hacia arriba del observador, desde el observador.
                v(1):=ap_fp2slv(dy*real(cam.resy)*0.5-real(y)*dy-dy*0.5);
                v(1):=ap_fp2slv(dy*real(cam.resy)*0.5-real(y)*dy-dy*0.5);
 
 
                return v;
                return v;
 
 
        end function;
        end function;
 
 
        procedure ap_slvf2string(l:inout line;sl:std_logic_vector) is
        procedure ap_slvf2string(l:inout line;sl:std_logic_vector) is
                alias f: std_logic_vector(31 downto 0) is sl;
                alias f: std_logic_vector(31 downto 0) is sl;
                variable r: real;
                variable r: real;
 
 
        begin
        begin
 
 
                r:=ap_slv2fp(f);
                r:=ap_slv2fp(f);
                write(l,string'(real'image(r)));
                write(l,string'(real'image(r)));
                write(l,string'(" [ s:"));
                write(l,string'(" [ s:"));
                ap_slv2hex(l,f(31 downto 31));
                ap_slv2hex(l,f(31 downto 31));
                write(l,string'(" f: "));
                write(l,string'(" f: "));
                ap_slv2hex(l,f(30 downto 23));
                ap_slv2hex(l,f(30 downto 23));
                write(l,string'(" m: "));
                write(l,string'(" m: "));
                ap_slv2hex(l,f(22 downto 00));
                ap_slv2hex(l,f(22 downto 00));
                write(l,string'(" ]"));
                write(l,string'(" ]"));
 
 
        end procedure;
        end procedure;
 
 
 
 
 
 
 
 
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.