OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [trunk/] [adder.vhd] - Diff between revs 52 and 67

Go to most recent revision | Only display areas with differences | Details | Blame | View Log

Rev 52 Rev 67
-- RAYTRAC
-- RAYTRAC
-- Author Julian Andres Guarin
-- Author Julian Andres Guarin
-- adder.vhd
-- adder.vhd
-- This file is part of raytrac.
-- This file is part of raytrac.
-- 
-- 
--     raytrac is free software: you can redistribute it and/or modify
--     raytrac is free software: you can redistribute it and/or modify
--     it under the terms of the GNU General Public License as published by
--     it under the terms of the GNU General Public License as published by
--     the Free Software Foundation, either version 3 of the License, or
--     the Free Software Foundation, either version 3 of the License, or
--     (at your option) any later version.
--     (at your option) any later version.
-- 
-- 
--     raytrac is distributed in the hope that it will be useful,
--     raytrac is distributed in the hope that it will be useful,
--     but WITHOUT ANY WARRANTY; without even the implied warranty of
--     but WITHOUT ANY WARRANTY; without even the implied warranty of
--     MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
--     MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
--     GNU General Public License for more details.
--     GNU General Public License for more details.
-- 
-- 
--     You should have received a copy of the GNU General Public License
--     You should have received a copy of the GNU General Public License
--     along with raytrac.  If not, see <http://www.gnu.org/licenses/>.
--     along with raytrac.  If not, see <http://www.gnu.org/licenses/>.
 
 
--! Libreria de definici&oacute;n de segnales y tipos estandares, comportamiento de operadores aritmeticos y logicos.\n 
--! Libreria de definici&oacute;n de segnales y tipos estandares, comportamiento de operadores aritmeticos y logicos.\n 
library ieee;
library ieee;
--! Paquete de definicion estandard de logica. 
--! Paquete de definicion estandard de logica. 
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
--! Se usaran en esta descripcion los componentes del package arithpack.vhd. 
--! Se usaran en esta descripcion los componentes del package arithpack.vhd. 
use work.arithpack.all;
use work.arithpack.all;
entity adder is
entity adder is
        generic (
        generic (
                width : integer := 4;
                width : integer := 4;
                carry_logic : string := "CLA";
                carry_logic : string := "CLA";
                substractor_selector : string := "YES"
                substractor_selector : string := "YES"
        );
        );
 
 
        port (
        port (
                a,b     : in std_logic_vector(width-1 downto 0);
                a,b     : in std_logic_vector(width-1 downto 0);
                s,ci    : in std_logic;
                s,ci    : in std_logic;
                result  : out std_logic_vector(width-1 downto 0);
                result  : out std_logic_vector(width-1 downto 0);
                cout    : out std_logic
                cout    : out std_logic
        );
        );
end adder;
end adder;
 
 
--! @brief arquitectura del sumador
--! @brief      Arquitectura del sumador
architecture adder_arch of adder is
architecture adder_arch of adder is
 
 
        signal sa,p,g:  std_logic_vector(width-1 downto 0);
        signal sa,p,g:  std_logic_vector(width-1 downto 0);
        signal sCarry:  std_logic_vector(width downto 1);
        signal sCarry:  std_logic_vector(width downto 1);
 
 
 
 
begin
begin
 
 
 
 
 
 
 
 
        -- Usual Structural Model / wether or not CLA/RCA is used and wether or not add/sub selector is used, this port is always instanced --
        -- Usual Structural Model / wether or not CLA/RCA is used and wether or not add/sub selector is used, this port is always instanced --
 
 
        result(0)<= a(0) xor b(0) xor ci;
        result(0)<= a(0) xor b(0) xor ci;
        wide_adder:
        wide_adder:
 
 
 
 
        if (width>1) generate
        if (width>1) generate
                wide_adder_generate_loop:
                wide_adder_generate_loop:
                for i in 1 to width-1 generate
                for i in 1 to width-1 generate
                        result(i) <= a(i) xor b(i) xor sCarry(i);
                        result(i) <= a(i) xor b(i) xor sCarry(i);
                end generate wide_adder_generate_loop;
                end generate wide_adder_generate_loop;
        end generate wide_adder;
        end generate wide_adder;
        cout <= sCarry(width);
        cout <= sCarry(width);
        g<= sa and b;
        g<= sa and b;
        p<= sa or b;
        p<= sa or b;
 
 
 
 
        --! Si se configura una se&ntilde;al para seleccionar entre suma y resta, se generar&oacute; el circuito a continuaci&oacute;n.
        --! Si se configura una se&ntilde;al para seleccionar entre suma y resta, se generar&aacute; el circuito a continuaci&oacute;n.
 
 
        adder_sub_logic :       -- adder substractor logic
        adder_sub_logic :       -- adder substractor logic
        if substractor_selector = "YES" generate
        if substractor_selector = "YES" generate
                a_xor_s:
                a_xor_s:
                for i in 0 to width-1 generate
                for i in 0 to width-1 generate
                        sa(i) <= a(i) xor s;
                        sa(i) <= a(i) xor s;
                end generate a_xor_s;
                end generate a_xor_s;
        end generate adder_sub_Logic;
        end generate adder_sub_Logic;
 
 
        add_logic:      --!Si no se configura una se&ntilde;al de selecci&oacute;n entonces sencillamente se conecta a a sa.
        add_logic:      --!Si no se configura una se&ntilde;al de selecci&oacute;n entonces sencillamente se conecta a a sa.
        if substractor_selector = "NO" generate
        if substractor_selector = "NO" generate
                sa <= a;
                sa <= a;
        end generate add_logic;
        end generate add_logic;
 
 
 
 
 
 
        -- Conditional Instantiation / RCA/CLA Logical Blocks Generation --
        -- Conditional Instantiation / RCA/CLA Logical Blocks Generation --
 
 
        --! Si se selecciona un ripple carry adder se instancia el siguiente circuito
        --! Si se selecciona un ripple carry adder se instancia el siguiente circuito
        rca_logic_block_instancing:     -- Ripple Carry Adder
        rca_logic_block_instancing:     -- Ripple Carry Adder
        if carry_logic="RCA" generate
        if carry_logic="RCA" generate
                rca_x: rca_logic_block
                rca_x: rca_logic_block
                generic map (width=>width)
                generic map (width=>width)
                port map (
                port map (
                        p=>p,
                        p=>p,
                        g=>g,
                        g=>g,
                        cin=>ci,
                        cin=>ci,
                        c=>sCarry
                        c=>sCarry
                );
                );
        end generate rca_logic_block_instancing;
        end generate rca_logic_block_instancing;
 
 
        --! Si se selecciona un Carry Lookahead adder se instancia el siguiente circuito
        --! Si se selecciona un Carry Lookahead adder se instancia el siguiente circuito
        cla_logic_block_instancing:     -- Carry Lookahead Adder
        cla_logic_block_instancing:     -- Carry Lookahead Adder
        if carry_logic="CLA" generate
        if carry_logic="CLA" generate
                cla_x: cla_logic_block
                cla_x: cla_logic_block
                generic map (width=>width)
                generic map (width=>width)
                port map (
                port map (
                        p=>p,
                        p=>p,
                        g=>g,
                        g=>g,
                        cin=>ci,
                        cin=>ci,
                        c=>sCarry
                        c=>sCarry
                );
                );
        end generate cla_logic_block_instancing;
        end generate cla_logic_block_instancing;
 
 
 
 
end adder_arch;
end adder_arch;
 
 
 
 
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.