OpenCores
URL https://opencores.org/ocsvn/sha256_hash_core/sha256_hash_core/trunk

Subversion Repositories sha256_hash_core

[/] [sha256_hash_core/] [trunk/] [syn/] [sha256/] [sha256.wcfg] - Diff between revs 9 and 10

Only display areas with differences | Details | Blame | View Log

Rev 9 Rev 10
   
   
   
   
   
   
      
      
         
         
            
            
            
            
            
            
         
         
      
      
   
   
   
   
   
   
      test_case
      test_case
      test_case
      test_case
   
   
   
   
      pclk
      pclk
      pclk
      pclk
   
   
   
   
      hash_control_st_reg
      hash_control_st_reg
      hash_control_st_reg
      hash_control_st_reg
   
   
   
   
      hash_control_st_next
      hash_control_st_next
      hash_control_st_next
      hash_control_st_next
   
   
   
   
      dut_ce
      dut_ce
      dut_ce
      dut_ce
   
   
   
   
      dut_start
      dut_start
      dut_start
      dut_start
   
   
   
   
      dut_end
      dut_end
      dut_end
      dut_end
   
   
   
   
      di_wr_window
      di_wr_window
      di_wr_window
      di_wr_window
   
   
   
   
      dut_di_req
      dut_di_req
      dut_di_req
      dut_di_req
   
   
   
   
      dut_di_wr
      dut_di_wr
      dut_di_wr
      dut_di_wr
   
   
   
   
      dut_error
      dut_error
      dut_error
      dut_error
   
   
   
   
      dut_do_valid
      dut_do_valid
      dut_do_valid
      dut_do_valid
   
   
   
   
      error_i
      error_i
      error_i
      error_i
   
   
   
   
      core_error
      core_error
      core_error
      core_error
   
   
   
 
      bytes_error_reg
 
      bytes_error_reg
 
   
 
   
 
      bytes_error_next
 
      bytes_error_next
 
   
 
   
   
      dut_di[31:0]
      dut_di[31:0]
      dut_di[31:0]
      dut_di[31:0]
   
   
   
   
      dut_bytes[1:0]
      dut_bytes[1:0]
      dut_bytes[1:0]
      dut_bytes[1:0]
   
   
   
   
      st_cnt_reg[6:0]
      st_cnt_reg[6:0]
      st_cnt_reg[6:0]
      st_cnt_reg[6:0]
   
   
   
   
      bitlen_o[63:0]
      bitlen_o[63:0]
      bitlen_o[63:0]
      bitlen_o[63:0]
   
   
   
   
      sha_reset
      sha_reset
      sha_reset
      sha_reset
   
   
   
   
      sha_init
      sha_init
      sha_init
      sha_init
   
   
   
   
      sch_ld_o
      sch_ld_o
      sch_ld_o
      sch_ld_o
   
   
   
   
      core_ld_o
      core_ld_o
      core_ld_o
      core_ld_o
   
   
   
   
      oregs_ld_o
      oregs_ld_o
      oregs_ld_o
      oregs_ld_o
   
   
   
 
      sch_ce_o
 
      sch_ce_o
 
   
 
   
   
      core_ce_o
      core_ce_o
      core_ce_o
      core_ce_o
   
   
 
   
 
      sch_ce_o
 
      sch_ce_o
 
   
   
   
      oregs_ce_o
      oregs_ce_o
      oregs_ce_o
      oregs_ce_o
   
   
   
   
      one_insert_o
      one_insert_o
      one_insert_o
      one_insert_o
   
   
   
   
      sha_last_blk_reg
      sha_last_blk_reg
      sha_last_blk_reg
      sha_last_blk_reg
   
   
   
   
      sha_last_blk_next
      sha_last_blk_next
      sha_last_blk_next
      sha_last_blk_next
   
   
 
   
 
      kt_i[31:0]
 
      kt_i[31:0]
 
   
 
   
 
      wt_o[31:0]
 
      wt_o[31:0]
 
   
 
   
 
      wt_i[31:0]
 
      wt_i[31:0]
 
   
 
   
 
      a_o[31:0]
 
      a_o[31:0]
 
   
   
   
      dut_h0[31:0]
      dut_h0[31:0]
      dut_h0[31:0]
      dut_h0[31:0]
   
   
   
   
      dut_h1[31:0]
      dut_h1[31:0]
      dut_h1[31:0]
      dut_h1[31:0]
   
   
   
   
      dut_h2[31:0]
      dut_h2[31:0]
      dut_h2[31:0]
      dut_h2[31:0]
   
   
   
   
      dut_h3[31:0]
      dut_h3[31:0]
      dut_h3[31:0]
      dut_h3[31:0]
   
   
   
   
      dut_h4[31:0]
      dut_h4[31:0]
      dut_h4[31:0]
      dut_h4[31:0]
   
   
   
   
      dut_h5[31:0]
      dut_h5[31:0]
      dut_h5[31:0]
      dut_h5[31:0]
   
   
   
   
      dut_h6[31:0]
      dut_h6[31:0]
      dut_h6[31:0]
      dut_h6[31:0]
   
   
   
   
      dut_h7[31:0]
      dut_h7[31:0]
      dut_h7[31:0]
      dut_h7[31:0]
   
   
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.