OpenCores
URL https://opencores.org/ocsvn/simple_fm_receiver/simple_fm_receiver/trunk

Subversion Repositories simple_fm_receiver

[/] [simple_fm_receiver/] [trunk/] [bench/] [bench.vhdl] - Diff between revs 14 and 32

Go to most recent revision | Only display areas with differences | Details | Blame | View Log

Rev 14 Rev 32
-- $Id: bench.vhdl,v 1.4 2005-03-04 08:03:56 arif_endro Exp $
-- $Id: bench.vhdl,v 1.4 2005-03-04 08:03:56 arif_endro Exp $
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Title       : Test Bench
-- Title       : Test Bench
-- Project     : FM Receiver 
-- Project     : FM Receiver 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- File        : bench.vhdl
-- File        : bench.vhdl
-- Author      : "Arif E. Nugroho" <arif_endro@yahoo.com>
-- Author      : "Arif E. Nugroho" <arif_endro@yahoo.com>
-- Created     : 2004/12/23
-- Created     : 2004/12/23
-- Last update : 2005/01/08
-- Last update : 2005/01/08
-- Simulators  : 
-- Simulators  : 
-- Synthesizers: 
-- Synthesizers: 
-- Target      : 
-- Target      : 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Description : Test bench for FM receiver
-- Description : Test bench for FM receiver
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Copyright (C) 2004 Arif E. Nugroho
-- Copyright (C) 2004 Arif E. Nugroho
-- This VHDL design file is an open design; you can redistribute it and/or
-- This VHDL design file is an open design; you can redistribute it and/or
-- modify it and/or implement it after contacting the author
-- modify it and/or implement it after contacting the author
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- 
-- 
--      THIS SOURCE FILE MAY BE USED AND DISTRIBUTED WITHOUT RESTRICTION
--      THIS SOURCE FILE MAY BE USED AND DISTRIBUTED WITHOUT RESTRICTION
-- PROVIDED THAT THIS COPYRIGHT STATEMENT IS NOT REMOVED FROM THE FILE AND THAT
-- PROVIDED THAT THIS COPYRIGHT STATEMENT IS NOT REMOVED FROM THE FILE AND THAT
-- ANY DERIVATIVE WORK CONTAINS THE ORIGINAL COPYRIGHT NOTICE AND THE
-- ANY DERIVATIVE WORK CONTAINS THE ORIGINAL COPYRIGHT NOTICE AND THE
-- ASSOCIATED DISCLAIMER.
-- ASSOCIATED DISCLAIMER.
-- 
-- 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- 
-- 
--      THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY EXPRESS OR
--      THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY EXPRESS OR
-- IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
-- IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
-- MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED.  IN NO
-- MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED.  IN NO
-- EVENT SHALL THE AUTHOR BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
-- EVENT SHALL THE AUTHOR BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
-- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
-- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
-- PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
-- PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
-- OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
-- OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
-- WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
-- WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
-- OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
-- OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-- 
-- 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
 
 
library IEEE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_1164.ALL;
 
 
entity bench is
entity bench is
port (
port (
    clock               : in  bit;
    clock               : in  bit;
    reset               : in  bit;
    reset               : in  bit;
    output_fm           : out bit_vector (11 downto 0);
    output_fm           : out bit_vector (11 downto 0);
    output_fmTri        : out bit_vector (11 downto 0)
    output_fmTri        : out bit_vector (11 downto 0)
    );
    );
end bench;
end bench;
 
 
architecture structural of bench is
architecture structural of bench is
  component fm
  component fm
  port (
  port (
    CLK              : in  bit;
    CLK              : in  bit;
    RESET            : in  bit;
    RESET            : in  bit;
    FMIN             : in  bit_vector (07 downto 0);
    FMIN             : in  bit_vector (07 downto 0);
    DMOUT            : out bit_vector (11 downto 0)
    DMOUT            : out bit_vector (11 downto 0)
    );
    );
  end component;
  end component;
 
 
  component input_fm
  component input_fm
  port (
  port (
    clock            : in  bit;
    clock            : in  bit;
    clear            : in  bit;
    clear            : in  bit;
    test_signal_fm   : out bit_vector (07 downto 0);
    test_signal_fm   : out bit_vector (07 downto 0);
    test_signal_fmTri: out bit_vector (07 downto 0)
    test_signal_fmTri: out bit_vector (07 downto 0)
    );
    );
  end component;
  end component;
 
 
  signal test_signal_fm : bit_vector (07 downto 0);
  signal test_signal_fm : bit_vector (07 downto 0);
  signal test_signal_fmTri : bit_vector (07 downto 0);
  signal test_signal_fmTri : bit_vector (07 downto 0);
 
 
  begin
  begin
 
 
 myinput : input_fm
 myinput : input_fm
   port map (
   port map (
    clock            => clock,
    clock            => clock,
    clear            => reset,
    clear            => reset,
    test_signal_fm   => test_signal_fm,
    test_signal_fm   => test_signal_fm,
    test_signal_fmTri=> test_signal_fmTri
    test_signal_fmTri=> test_signal_fmTri
    );
    );
 
 
  myfm : fm
  myfm : fm
   port map (
   port map (
    CLK                  => clock,
    CLK                  => clock,
    RESET                => reset,
    RESET                => reset,
    FMIN                 => test_signal_fm,
    FMIN                 => test_signal_fm,
    DMOUT (11 downto 0)  => output_fm
    DMOUT (11 downto 0)  => output_fm
    );
    );
 
 
  myfmTri : fm
  myfmTri : fm
   port map (
   port map (
    CLK                  => clock,
    CLK                  => clock,
    RESET                => reset,
    RESET                => reset,
    FMIN                 => test_signal_fmTri,
    FMIN                 => test_signal_fmTri,
    DMOUT (11 downto 0)  => output_fmTri
    DMOUT (11 downto 0)  => output_fmTri
    );
    );
 
 
 
 
end structural;
end structural;
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.