OpenCores
URL https://opencores.org/ocsvn/simple_fm_receiver/simple_fm_receiver/trunk

Subversion Repositories simple_fm_receiver

[/] [simple_fm_receiver/] [trunk/] [bench/] [bench.vhdl] - Diff between revs 40 and 46

Only display areas with differences | Details | Blame | View Log

Rev 40 Rev 46
-- $Id: bench.vhdl,v 1.4 2005-03-04 08:03:56 arif_endro Exp $
-- ------------------------------------------------------------------------
-------------------------------------------------------------------------------
 
-- Title       : Test Bench
 
-- Project     : FM Receiver 
 
-------------------------------------------------------------------------------
 
-- File        : bench.vhdl
 
-- Author      : "Arif E. Nugroho" <arif_endro@yahoo.com>
 
-- Created     : 2004/12/23
 
-- Last update : 2005/01/08
 
-- Simulators  : 
 
-- Synthesizers: 
 
-- Target      : 
 
-------------------------------------------------------------------------------
 
-- Description : Test bench for FM receiver
 
-------------------------------------------------------------------------------
 
-- Copyright (C) 2004 Arif Endro Nugroho
-- Copyright (C) 2004 Arif Endro Nugroho
-------------------------------------------------------------------------------
-- All rights reserved.
-- 
-- 
--      THIS SOURCE FILE MAY BE USED AND DISTRIBUTED WITHOUT RESTRICTION
-- Redistribution and use in source and binary forms, with or without
-- PROVIDED THAT THIS COPYRIGHT STATEMENT IS NOT REMOVED FROM THE FILE AND THAT
-- modification, are permitted provided that the following conditions
-- ANY DERIVATIVE WORK CONTAINS THE ORIGINAL COPYRIGHT NOTICE AND THE
-- are met:
-- ASSOCIATED DISCLAIMER.
 
-- 
-- 
-------------------------------------------------------------------------------
-- 1. Redistributions of source code must retain the above copyright
 
--    notice, this list of conditions and the following disclaimer.
 
-- 2. Redistributions in binary form must reproduce the above copyright
 
--    notice, this list of conditions and the following disclaimer in the
 
--    documentation and/or other materials provided with the distribution.
-- 
-- 
--      THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY EXPRESS OR
-- THIS SOFTWARE IS PROVIDED BY ARIF ENDRO NUGROHO "AS IS" AND ANY EXPRESS
-- IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
-- OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
-- MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED.  IN NO
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
-- EVENT SHALL THE AUTHOR BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
-- DISCLAIMED. IN NO EVENT SHALL ARIF ENDRO NUGROHO BE LIABLE FOR ANY
-- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
-- PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
-- DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS
-- OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
-- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION)
-- WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
-- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
-- OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
-- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
 
-- POSSIBILITY OF SUCH DAMAGE.
-- 
-- 
-------------------------------------------------------------------------------
-- End Of License.
 
-- ------------------------------------------------------------------------
 
 
library IEEE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_1164.ALL;
 
 
entity bench is
entity bench is
port (
port (
    clock               : in  bit;
    clock               : in  bit;
    reset               : in  bit;
    reset               : in  bit;
    output_fm           : out bit_vector (11 downto 0);
    output_fm           : out bit_vector (11 downto 0);
    output_fmTri        : out bit_vector (11 downto 0)
    output_fmTri        : out bit_vector (11 downto 0)
    );
    );
end bench;
end bench;
 
 
architecture structural of bench is
architecture structural of bench is
  component fm
  component fm
  port (
  port (
    CLK              : in  bit;
    CLK              : in  bit;
    RESET            : in  bit;
    RESET            : in  bit;
    FMIN             : in  bit_vector (07 downto 0);
    FMIN             : in  bit_vector (07 downto 0);
    DMOUT            : out bit_vector (11 downto 0)
    DMOUT            : out bit_vector (11 downto 0)
    );
    );
  end component;
  end component;
 
 
  component input_fm
  component input_fm
  port (
  port (
    clock            : in  bit;
    clock            : in  bit;
    clear            : in  bit;
    clear            : in  bit;
    test_signal_fm   : out bit_vector (07 downto 0);
    test_signal_fm   : out bit_vector (07 downto 0);
    test_signal_fmTri: out bit_vector (07 downto 0)
    test_signal_fmTri: out bit_vector (07 downto 0)
    );
    );
  end component;
  end component;
 
 
  signal test_signal_fm : bit_vector (07 downto 0);
  signal test_signal_fm : bit_vector (07 downto 0);
  signal test_signal_fmTri : bit_vector (07 downto 0);
  signal test_signal_fmTri : bit_vector (07 downto 0);
 
 
  begin
  begin
 
 
 myinput : input_fm
 myinput : input_fm
   port map (
   port map (
    clock            => clock,
    clock            => clock,
    clear            => reset,
    clear            => reset,
    test_signal_fm   => test_signal_fm,
    test_signal_fm   => test_signal_fm,
    test_signal_fmTri=> test_signal_fmTri
    test_signal_fmTri=> test_signal_fmTri
    );
    );
 
 
  myfm : fm
  myfm : fm
   port map (
   port map (
    CLK                  => clock,
    CLK                  => clock,
    RESET                => reset,
    RESET                => reset,
    FMIN                 => test_signal_fm,
    FMIN                 => test_signal_fm,
    DMOUT (11 downto 0)  => output_fm
    DMOUT (11 downto 0)  => output_fm
    );
    );
 
 
  myfmTri : fm
  myfmTri : fm
   port map (
   port map (
    CLK                  => clock,
    CLK                  => clock,
    RESET                => reset,
    RESET                => reset,
    FMIN                 => test_signal_fmTri,
    FMIN                 => test_signal_fmTri,
    DMOUT (11 downto 0)  => output_fmTri
    DMOUT (11 downto 0)  => output_fmTri
    );
    );
 
 
 
 
end structural;
end structural;
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.