OpenCores
URL https://opencores.org/ocsvn/simple_fm_receiver/simple_fm_receiver/trunk

Subversion Repositories simple_fm_receiver

[/] [simple_fm_receiver/] [trunk/] [modelsim-bench/] [bench.vhdl] - Diff between revs 32 and 46

Only display areas with differences | Details | Blame | View Log

Rev 32 Rev 46
-- $Id: bench.vhdl,v 1.1.1.1 2005-01-04 02:06:01 arif_endro Exp $
-- ------------------------------------------------------------------------
-- **************************************************************
-- Copyright (C) 2004 Arif Endro Nugroho
-- Arif E. Nugroho
-- All rights reserved.
-- **************************************************************
-- 
 
-- Redistribution and use in source and binary forms, with or without
 
-- modification, are permitted provided that the following conditions
 
-- are met:
 
-- 
 
-- 1. Redistributions of source code must retain the above copyright
 
--    notice, this list of conditions and the following disclaimer.
 
-- 2. Redistributions in binary form must reproduce the above copyright
 
--    notice, this list of conditions and the following disclaimer in the
 
--    documentation and/or other materials provided with the distribution.
 
-- 
 
-- THIS SOFTWARE IS PROVIDED BY ARIF ENDRO NUGROHO "AS IS" AND ANY EXPRESS
 
-- OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
 
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
 
-- DISCLAIMED. IN NO EVENT SHALL ARIF ENDRO NUGROHO BE LIABLE FOR ANY
 
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
 
-- DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS
 
-- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION)
 
-- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
 
-- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
 
-- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
 
-- POSSIBILITY OF SUCH DAMAGE.
 
-- 
 
-- End Of License.
 
-- ------------------------------------------------------------------------
 
 
library IEEE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_arith.ALL;
use IEEE.STD_LOGIC_arith.ALL;
use IEEE.STD_LOGIC_unsigned.ALL;
use IEEE.STD_LOGIC_unsigned.ALL;
 
 
entity bench is
entity bench is
--  port (
--  port (
--   clock : out bit;
--   clock : out bit;
--   fmout : out bit;
--   fmout : out bit;
--   reset : out bit;
--   reset : out bit;
-- );
-- );
end bench;
end bench;
 
 
architecture structural of bench is
architecture structural of bench is
  component fm
  component fm
  port (
  port (
    CLK              : in  bit;
    CLK              : in  bit;
    RESET            : in  bit;
    RESET            : in  bit;
    FMIN             : in  bit_vector (07 downto 0);
    FMIN             : in  bit_vector (07 downto 0);
    DMOUT            : out bit_vector (11 downto 0)
    DMOUT            : out bit_vector (11 downto 0)
    );
    );
  end component;
  end component;
 
 
  component input
  component input
  port (
  port (
    clock_out        : out bit;
    clock_out        : out bit;
    test_signal_fm   : out bit_vector (07 downto 0);
    test_signal_fm   : out bit_vector (07 downto 0);
    test_signal_fmTri: out bit_vector (07 downto 0);
    test_signal_fmTri: out bit_vector (07 downto 0);
    signal_fm_bit    : out bit;
    signal_fm_bit    : out bit;
    signal_fmTri_bit : out bit
    signal_fmTri_bit : out bit
    );
    );
  end component;
  end component;
  signal clock       : bit;
  signal clock       : bit;
  signal reset       : bit;
  signal reset       : bit;
  signal signal_fm   : bit;
  signal signal_fm   : bit;
  signal signal_fmTri: bit;
  signal signal_fmTri: bit;
  signal test_signal_fm : bit_vector (07 downto 0);
  signal test_signal_fm : bit_vector (07 downto 0);
  signal test_signal_fmTri : bit_vector (07 downto 0);
  signal test_signal_fmTri : bit_vector (07 downto 0);
  signal output_fm   : bit_vector (11 downto 0);
  signal output_fm   : bit_vector (11 downto 0);
  begin
  begin
  reset <= '0';
  reset <= '0';
  myinput : input
  myinput : input
   port map (
   port map (
    clock_out        => clock,
    clock_out        => clock,
    test_signal_fm   => test_signal_fm,
    test_signal_fm   => test_signal_fm,
    test_signal_fmTri=> test_signal_fmTri,
    test_signal_fmTri=> test_signal_fmTri,
    signal_fm_bit    => signal_fm,
    signal_fm_bit    => signal_fm,
    signal_fmTri_bit => signal_fmTri
    signal_fmTri_bit => signal_fmTri
    );
    );
  myfm : fm
  myfm : fm
   port map (
   port map (
    CLK                  => clock,
    CLK                  => clock,
    RESET                => reset,
    RESET                => reset,
    FMIN                 => test_signal_fm,
    FMIN                 => test_signal_fm,
    DMOUT (11 downto 0)  => output_fm
    DMOUT (11 downto 0)  => output_fm
    );
    );
end structural;
end structural;
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.