OpenCores
URL https://opencores.org/ocsvn/socgen/socgen/trunk

Subversion Repositories socgen

[/] [socgen/] [trunk/] [tools/] [sys/] [build_hw_master] - Diff between revs 134 and 135

Only display areas with differences | Details | Blame | View Log

Rev 134 Rev 135
eval 'exec `which perl` -S $0 ${1+"$@"}'
eval 'exec `which perl` -S $0 ${1+"$@"}'
   if 0;
   if 0;
 
#/****************************************************************************/
#/**********************************************************************/
 
#/*                                                                    */
 
#/*             -------                                                */
 
#/*            /   SOC  \                                              */
 
#/*           /    GEN   \                                             */
 
#/*          /    TOOL    \                                            */
 
#/*          ==============                                            */
 
#/*          |            |                                            */
 
#/*          |____________|                                            */
 
#/*                                                                    */
#/*                                                                    */
 
#/*   SOCGEN Design for Reuse toolset                                        */
#/*                                                                    */
#/*                                                                    */
 
#/*   Version 1.0.0                                                          */
#/*                                                                    */
#/*                                                                    */
#/*  Author(s):                                                        */
#/*  Author(s):                                                        */
#/*      - John Eaton, jt_eaton@opencores.org                          */
#/*      - John Eaton, z3qmtr45@gmail.com                                    */
#/*                                                                    */
#/*                                                                    */
#/**********************************************************************/
#/****************************************************************************/
#/*                                                                    */
#/*                                                                    */
#/*    Copyright (C) <2010-2013>                */
 
#/*                                                                    */
#/*                                                                    */
#/*  This source file may be used and distributed without              */
#/*             Copyright 2016 John T Eaton                                  */
#/*  restriction provided that this copyright statement is not         */
 
#/*  removed from the file and that any derivative work contains       */
 
#/*  the original copyright notice and the associated disclaimer.      */
 
#/*                                                                    */
 
#/*  This source file is free software; you can redistribute it        */
 
#/*  and/or modify it under the terms of the GNU Lesser General        */
 
#/*  Public License as published by the Free Software Foundation;      */
 
#/*  either version 2.1 of the License, or (at your option) any        */
 
#/*  later version.                                                    */
 
#/*                                                                    */
 
#/*  This source is distributed in the hope that it will be            */
 
#/*  useful, but WITHOUT ANY WARRANTY; without even the implied        */
 
#/*  warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR           */
 
#/*  PURPOSE.  See the GNU Lesser General Public License for more      */
 
#/*  details.                                                          */
 
#/*                                                                    */
 
#/*  You should have received a copy of the GNU Lesser General         */
 
#/*  Public License along with this source; if not, download it        */
 
#/*  from http://www.opencores.org/lgpl.shtml                          */
 
#/*                                                                    */
#/*                                                                    */
#/**********************************************************************/
#/* Licensed under the Apache License, Version 2.0 (the "License");          */
 
#/* you may not use this file except in compliance with the License.         */
 
#/* You may obtain a copy of the License at                                  */
 
#/*                                                                          */
 
#/*    http://www.apache.org/licenses/LICENSE-2.0                            */
 
#/*                                                                          */
 
#/* Unless required by applicable law or agreed to in writing, software      */
 
#/* distributed under the License is distributed on an "AS IS" BASIS,        */
 
#/* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. */
 
#/* See the License for the specific language governing permissions and      */
 
#/* limitations under the License.                                           */
 
#/*                                                                          */
 
#/*                                                                          */
 
#/****************************************************************************/
 
 
############################################################################
############################################################################
# General PERL config
# General PERL config
############################################################################
############################################################################
use Getopt::Long;
use Getopt::Long;
use English;
use English;
use File::Basename;
use File::Basename;
use Cwd;
use Cwd;
use XML::LibXML;
use XML::LibXML;
use lib './tools';
use lib './tools';
use sys::lib;
use sys::lib;
use yp::lib;
use yp::lib;
$OUTPUT_AUTOFLUSH = 1; # set autoflush of stdout to TRUE.
$OUTPUT_AUTOFLUSH = 1; # set autoflush of stdout to TRUE.
############################################################################
############################################################################
### Process the options
### Process the options
############################################################################
############################################################################
Getopt::Long::config("require_order", "prefix=-");
Getopt::Long::config("require_order", "prefix=-");
GetOptions("h","help",
GetOptions("h","help",
) || die "(use '$program_name -h' for help)";
) || die "(use '$program_name -h' for help)";
##############################################################################
##############################################################################
## Help option
## Help option
##############################################################################
##############################################################################
if ( $opt_h or $opt_help  )
if ( $opt_h or $opt_help  )
  { print "\n build_master";
  { print "\n build_master";
    print "\n";
    print "\n";
    exit 1;
    exit 1;
  }
  }
 
 
 
my $child_dir = yp::lib::get_child_dir;
 
 
my $parser = XML::LibXML->new();
my $parser = XML::LibXML->new();
my      @kids          = (  );
my      @kids          = (  );
my      @filelist      = (  );
my      @filelist      = (  );
#/**********************************************************************/
#/**********************************************************************/
#/*  Process each library by finding any ip-xact file in any component */
#/*  Process each library by finding any ip-xact file in any component */
#/*                                                                    */
#/*                                                                    */
#/*  Each ip-xact file is parsed and it's filename and the names of any*/
#/*  Each ip-xact file is parsed and it's filename and the names of any*/
#/*  modules that it uses are saved.                                   */
#/*  modules that it uses are saved.                                   */
#/*                                                                    */
#/*                                                                    */
#/*                                                                    */
#/*                                                                    */
#/**********************************************************************/
#/**********************************************************************/
my $home = cwd();
my $home = cwd();
my $prefix   = yp::lib::get_workspace();
my $prefix   = yp::lib::get_workspace();
   $prefix   = "/${prefix}";
   $prefix   = "/${prefix}";
my @vendors = yp::lib::find_vendors();
my @vendors = yp::lib::find_vendors();
foreach my $vendor (@vendors)
foreach my $vendor (@vendors)
 {
 {
 my $vendor_status    =  yp::lib::get_vendor_status($vendor);
 my $vendor_status    =  yp::lib::get_vendor_status($vendor);
 if($vendor_status eq "active")
 if($vendor_status eq "active")
   {
   {
   my @libraries = yp::lib::find_libraries($vendor);
   my @libraries = yp::lib::find_libraries($vendor);
   foreach my $library (@libraries)
   foreach my $library (@libraries)
     {
     {
     my $library_status   =  yp::lib::get_library_status($vendor,$library);
     my $library_status   =  yp::lib::get_library_status($vendor,$library);
     if($library_status eq "active")
     if($library_status eq "active")
         {
         {
         run_vendor_library ( $vendor ,  $library)
         run_vendor_library ( $vendor ,  $library)
         }
         }
     }
     }
   }
   }
 }
 }
sub run_vendor_library
sub run_vendor_library
   {
   {
   my @params     = @_;
   my @params     = @_;
   my $library    = pop(@params);
   my $library    = pop(@params);
   my $vendor     = pop(@params);
   my $vendor     = pop(@params);
my $prefix   = yp::lib::get_workspace();
my $prefix   = yp::lib::get_workspace();
   $prefix   = "/${prefix}";
   $prefix   = "/${prefix}";
my      @kids          = (  );
my      @kids          = (  );
my      @filelist      = (  );
my      @filelist      = (  );
my      @cmd_list      = (  );
my      @cmd_list      = (  );
my @components   = yp::lib::find_components($vendor,$library);
my @components   = yp::lib::find_components($vendor,$library);
foreach my $component (@components)
foreach my $component (@components)
   {
   {
   my $socgen_filename     = yp::lib::find_componentConfiguration($vendor,$library,$component);
   my $socgen_filename     = yp::lib::find_componentConfiguration($vendor,$library,$component);
   if($socgen_filename)
   if($socgen_filename)
   {
   {
   my $socgen_file     = $parser->parse_file($socgen_filename);
   my $socgen_file     = $parser->parse_file($socgen_filename);
   #/*********************************************************************************************/
   #/*********************************************************************************************/
   #/   files for simulation                                                                     */
   #/   files for simulation                                                                     */
   #/                                                                                            */
   #/                                                                                            */
   #/*********************************************************************************************/
   #/*********************************************************************************************/
   foreach  my   $i_name ($socgen_file->findnodes("//socgen:sim/socgen:testbenches/socgen:testbench/socgen:version"))
   foreach  my   $i_name ($socgen_file->findnodes("//socgen:sim/socgen:testbenches/socgen:testbench/socgen:version"))
      {
      {
      my $tb_version   = $i_name ->findnodes('./text()')->to_literal ;
      my $tb_version   = $i_name ->findnodes('./text()')->to_literal ;
      my $tb_variant   = "${component}_${tb_version}";
      my $tb_variant   = "${component}_${tb_version}";
      foreach  my   $i_name ($socgen_file->findnodes("//socgen:testbench[socgen:variant/text() = '$tb_variant']/socgen:tools/socgen:tool"))
      foreach  my   $i_name ($socgen_file->findnodes("//socgen:testbench[socgen:variant/text() = '$tb_variant']/socgen:tools/socgen:tool"))
      {
      {
      my $tb_tool   = $i_name ->findnodes('./text()')->to_literal ;
      my $tb_tool   = $i_name ->findnodes('./text()')->to_literal ;
      if( ($tb_tool eq "icarus") ||  ($tb_tool eq "verilator") ||  ($tb_tool eq "rtl_check"))
      if( ($tb_tool eq "icarus") ||  ($tb_tool eq "verilator") ||  ($tb_tool eq "rtl_check"))
       {
       {
        @filelist      = (  );
        @filelist      = (  );
        @filelist      = yp::lib::parse_component_file("$vendor","$library","$component","$tb_version");
        @filelist      = yp::lib::parse_component_file("$vendor","$library","$component","$tb_version");
        foreach $line (@filelist)
        foreach $line (@filelist)
          {
          {
          $_ = $line;
          $_ = $line;
          if(/::(\S+)::(\S+)::(\S+)::(\S+)::/)
          if(/::(\S+)::(\S+)::(\S+)::(\S+)::/)
            {
            {
            $new_vendor    = $1;
            $new_vendor    = $1;
            $new_proj      = $2;
            $new_proj      = $2;
            $new_comp      = $3;
            $new_comp      = $3;
            $new_version   = $4;
            $new_version   = $4;
            }
            }
         if( ($vendor eq  $new_vendor)   &&  ( $library eq  $new_proj)   && ( $component eq  $new_comp )   &&   ( $tb_version eq  $new_version )        )
         if( ($vendor eq  $new_vendor)   &&  ( $library eq  $new_proj)   && ( $component eq  $new_comp )   &&   ( $tb_version eq  $new_version )        )
           {
           {
           push @kids , "::${prefix}::${library}::${component}::${vendor}::${tb_version}::";
           push @kids , "::${prefix}::${library}::${component}::${vendor}::${tb_version}::";
           }
           }
         else
         else
           {
           {
           push @kids , "::${prefix}/children::${new_proj}::${new_comp}::${new_vendor}::${new_version}::";
           push @kids , "::/${child_dir}::${new_proj}::${new_comp}::${new_vendor}::${new_version}::";
           }
           }
          }
          }
       }
       }
      if($tb_tool eq "coverage")
      if($tb_tool eq "coverage")
        {
        {
        print "  SIM COVERAGE testbench  $vendor    $library  $component   $tb_variant  \n";
        print "  SIM COVERAGE testbench  $vendor    $library  $component   $tb_variant  \n";
        $cmd ="./tools/simulation/build_coverage -work_site $prefix -vendor $vendor -library  $library  -component  $component  -version $tb_version \n";
        $cmd ="./tools/simulation/build_coverage -work_site $prefix -vendor $vendor -library  $library  -component  $component  -version $tb_version \n";
        if (system($cmd)) {}
        if (system($cmd)) {}
        }
        }
      elsif($tb_tool eq "icarus")
      elsif($tb_tool eq "icarus")
        {
        {
        print "  SIM ICARUS testbench  $vendor    $library  $component   $tb_variant  \n";
        print "  SIM ICARUS testbench  $vendor    $library  $component   $tb_variant  \n";
        $cmd ="./tools/simulation/build_icarus_filelists  -work_site $prefix -vendor $vendor -library $library -component $component -version $tb_version \n";
        $cmd ="./tools/simulation/build_icarus_filelists  -work_site $prefix -vendor $vendor -library $library -component $component -version $tb_version \n";
        if (system($cmd)) {}
        if (system($cmd)) {}
        }
        }
      elsif($tb_tool eq "verilator")
      elsif($tb_tool eq "verilator")
        {
        {
        print "  SIM VERILATOR testbench  $vendor    $library  $component   $tb_variant  \n";
        print "  SIM VERILATOR testbench  $vendor    $library  $component   $tb_variant  \n";
        $cmd ="./tools/simulation/build_verilator_filelists -work_site $prefix -vendor $vendor -library $library -component $component -version $tb_version \n";
        $cmd ="./tools/simulation/build_verilator_filelists -work_site $prefix -vendor $vendor -library $library -component $component -version $tb_version \n";
        if (system($cmd)) {}
        if (system($cmd)) {}
        }
        }
      elsif($tb_tool eq "rtl_check")
      elsif($tb_tool eq "rtl_check")
        {
        {
        print "  SIM RTL_CHECK testbench  $vendor    $library  $component   $tb_variant  \n";
        print "  SIM RTL_CHECK testbench  $vendor    $library  $component   $tb_variant  \n";
        $cmd ="./tools/simulation/build_lint_filelists  -work_site $prefix -vendor $vendor -library $library  -component $component -version $tb_version \n";
        $cmd ="./tools/simulation/build_lint_filelists  -work_site $prefix -vendor $vendor -library $library  -component $component -version $tb_version \n";
        if (system($cmd)) {}
        if (system($cmd)) {}
        }
        }
      }
      }
      }
      }
   #/*********************************************************************************************/
   #/*********************************************************************************************/
   #/   files for synthesys                                                                      */
   #/   files for synthesys                                                                      */
   #/                                                                                            */
   #/                                                                                            */
   #/*********************************************************************************************/
   #/*********************************************************************************************/
 
 
   foreach  my   $i_name ($socgen_file->findnodes("//socgen:syn/socgen:ise/socgen:chip/socgen:name"))
   foreach  my   $i_name ($socgen_file->findnodes("//socgen:syn/socgen:fpgas/socgen:fpga/socgen:version"))
      {
      {
        my $ise_name   = $i_name ->findnodes('./text()')->to_literal ;
        my $ise_version   = $i_name ->findnodes('./text()')->to_literal ;
        print "  SYN ISE   $vendor    $library  $component   $ise_name  \n";
        print "  SYN ISE   $vendor    $library  $component   $ise_version  \n";
 
 
        @filelist      = (  );
        @filelist      = (  );
        @filelist      = yp::lib::parse_component_file("$vendor","$library","$component","$ise_name");
        @filelist      = yp::lib::parse_component_file("$vendor","$library","$component","$ise_version");
 
 
        foreach $line (@filelist)
        foreach $line (@filelist)
          {
          {
          $_ = $line;
          $_ = $line;
          if(/::(\S+)::(\S+)::(\S+)::(\S+)::/)
          if(/::(\S+)::(\S+)::(\S+)::(\S+)::/)
            {
            {
            $new_vendor    = $1;
            $new_vendor    = $1;
            $new_proj      = $2;
            $new_proj      = $2;
            $new_comp      = $3;
            $new_comp      = $3;
            $new_version   = $4;
            $new_version   = $4;
            }
            }
 
 
         if( ($vendor eq  $new_vendor)   &&  ( $library eq  $new_proj)   && ( $component eq  $new_comp )   &&   ( $ise_name eq  $new_version )        )
         if( ($vendor eq  $new_vendor)   &&  ( $library eq  $new_proj)   && ( $component eq  $new_comp )   &&   ( $ise_version eq  $new_version )        )
           {
           {
           push @kids , "::${prefix}::${library}::${component}::${vendor}::${ise_name}::";
           push @kids , "::${prefix}::${library}::${component}::${vendor}::${ise_version}::";
           }
           }
         else
         else
           {
           {
           push @kids , "::${prefix}/children::${new_proj}::${new_comp}::${new_vendor}::${new_version}::";
           push @kids , "::/${child_dir}::${new_proj}::${new_comp}::${new_vendor}::${new_version}::";
           }
           }
          }
          }
      }
      }
   }
   }
}
}
#/**********************************************************************/
#/**********************************************************************/
#/*                                                                    */
#/*                                                                    */
#/* Sort out the duplicate names and then build the rtl for every      */
#/* Sort out the duplicate names and then build the rtl for every      */
#/* component needed for simulations or synthesys                      */
#/* component needed for simulations or synthesys                      */
#/*                                                                    */
#/*                                                                    */
#/**********************************************************************/
#/**********************************************************************/
@kids =  sys::lib::trim_sort(@kids);
@kids =  sys::lib::trim_sort(@kids);
foreach my $kid (@kids)
foreach my $kid (@kids)
   {
   {
   $_ = $kid;
   $_ = $kid;
   if(/::(\S+)::(\S+)::(\S+)::(\S+)::(\S+)::/)
   if(/::(\S+)::(\S+)::(\S+)::(\S+)::(\S+)::/)
     {
     {
     my $kid_prefix           = $1;
     my $kid_prefix           = $1;
     my $kid_library          = $2;
     my $kid_library          = $2;
     my $kid_component        = $3;
     my $kid_component        = $3;
     my $kid_vendor           = $4;
     my $kid_vendor           = $4;
     my $kid_version          = $5;
     my $kid_version          = $5;
#############################################################################
#############################################################################
##
##
##
##
#############################################################################
#############################################################################
my $lib_comp_sep    = yp::lib::find_lib_comp_sep($kid_vendor,$kid_library,$kid_component);
my $lib_comp_sep    = yp::lib::find_lib_comp_sep($kid_vendor,$kid_library,$kid_component);
#/*********************************************************************************************/
#/*********************************************************************************************/
#/                                                                                            */
#/                                                                                            */
#/  Create filelists for simulation, synthesis and linting                                    */
#/  Create filelists for simulation, synthesis and linting                                    */
#/                                                                                            */
#/                                                                                            */
#/                                                                                            */
#/                                                                                            */
#/*********************************************************************************************/
#/*********************************************************************************************/
my  @filelist =       yp::lib::parse_component_brothers("$kid_vendor","$kid_library","$kid_component","$kid_version");
my  @filelist =       yp::lib::parse_component_brothers("$kid_vendor","$kid_library","$kid_component","$kid_version");
foreach $line (@filelist)
foreach $line (@filelist)
   {
   {
   $_ = $line;
   $_ = $line;
   if(/::(\S+)::(\S+)::(\S+)::(\S+)::/)
   if(/::(\S+)::(\S+)::(\S+)::(\S+)::/)
     {
     {
     $new_library        = $2;
     $new_library        = $2;
     $new_component      = $3;
     $new_component      = $3;
     $new_vendor         = $1;
     $new_vendor         = $1;
     $new_version        = $4;
     $new_version        = $4;
     my $spirit_component_file    = $parser->parse_file(yp::lib::find_ipxact_component($new_vendor,$new_library,$new_component,$new_version));
     my $spirit_component_file    = $parser->parse_file(yp::lib::find_ipxact_component($new_vendor,$new_library,$new_component,$new_version));
 
 
     foreach  my   $i_name ($spirit_component_file->findnodes("//spirit:componentGenerator/spirit:name"))
     foreach  my   $i_name ($spirit_component_file->findnodes("//ipxact:componentGenerator/ipxact:name"))
       {
       {
       my($gen_name)            = $i_name ->findnodes('../spirit:name/text()')->to_literal ;
       my($gen_name)            = $i_name ->findnodes('../ipxact:name/text()')->to_literal ;
       my($gen_generatorExe)    = $i_name ->findnodes('../spirit:generatorExe/text()')->to_literal ;
       my($gen_generatorExe)    = $i_name ->findnodes('../ipxact:generatorExe/text()')->to_literal ;
       my($gen_phase)           = $i_name ->findnodes('../spirit:phase/text()')->to_literal ;
       my($gen_phase)           = $i_name ->findnodes('../ipxact:phase/text()')->to_literal ;
 
 
       my $cmd;
       my $cmd;
       $cmd = "   -prefix $kid_prefix -vendor $kid_vendor     -library $kid_library  -component $kid_component -version $kid_version  ";
       $cmd = "   -prefix $kid_prefix -vendor $kid_vendor     -library $kid_library  -component $kid_component -version $kid_version  ";
 
 
       foreach  my   $i_name ($spirit_component_file->findnodes("//spirit:componentGenerator[spirit:name/text() = '$gen_name']/spirit:parameters/spirit:parameter"))
       foreach  my   $i_name ($spirit_component_file->findnodes("//ipxact:componentGenerator[ipxact:name/text() = '$gen_name']/ipxact:parameters/ipxact:parameter"))
          {
          {
          my($gen_param)             = $i_name ->findnodes('./spirit:value/text()')->to_literal ;
          my($gen_param)             = $i_name ->findnodes('./ipxact:value/text()')->to_literal ;
          my($gen_param_name)        = $i_name ->findnodes('./spirit:name/text()')->to_literal ;
          my($gen_param_name)        = $i_name ->findnodes('./ipxact:name/text()')->to_literal ;
 
 
          if   ($gen_param_name eq "in_pipe")     {$cmd =  "$cmd <${home}${kid_prefix}/${kid_vendor}__${kid_library}${lib_comp_sep}${kid_component}/${gen_param}";}
          if   ($gen_param_name eq "in_pipe")     {$cmd =  "$cmd <${home}${kid_prefix}/${kid_vendor}__${kid_library}${lib_comp_sep}${kid_component}/${gen_param}";}
          elsif($gen_param_name eq "out_pipe")    {$cmd =  "$cmd >${home}${kid_prefixn}/${kid_vendor}__${kid_library}${lib_comp_sep}${kid_component}/${gen_param}";}
          elsif($gen_param_name eq "out_pipe")    {$cmd =  "$cmd >${home}${kid_prefixn}/${kid_vendor}__${kid_library}${lib_comp_sep}${kid_component}/${gen_param}";}
          elsif($gen_param_name)                  {$cmd =  "$cmd -${gen_param_name} $gen_param ";}
          elsif($gen_param_name)                  {$cmd =  "$cmd -${gen_param_name} $gen_param ";}
          else                                    {$cmd =  "$cmd $gen_param";}
          else                                    {$cmd =  "$cmd $gen_param";}
          }
          }
 
 
       foreach  my   $i_name ($spirit_component_file->findnodes("//spirit:componentGenerator[spirit:name/text() = '$gen_name']/spirit:vendorExtensions/socgen:envIdentifier"))
       foreach  my   $i_name ($spirit_component_file->findnodes("//ipxact:componentGenerator[ipxact:name/text() = '$gen_name']/ipxact:vendorExtensions/socgen:envIdentifier"))
          {
          {
          my($gen_envidentifier)  = $i_name ->findnodes('./text()')->to_literal ;
          my($gen_envidentifier)  = $i_name ->findnodes('./text()')->to_literal ;
          my $New_cmd =  "  ${gen_generatorExe}  -envidentifier $gen_envidentifier      ${cmd}\n";
          my $New_cmd =  "  ${gen_generatorExe}  -envidentifier $gen_envidentifier      ${cmd}\n";
          if(${gen_generatorExe} )
          if(${gen_generatorExe} )
              {
              {
              push  @cmd_list, "${gen_phase}::$New_cmd";
              push  @cmd_list, "${gen_phase}::$New_cmd";
              }
              }
          }
          }
       }
       }
     }
     }
   }
   }
     }
     }
   }
   }
@cmd_list      = sys::lib::trim_sort(@cmd_list);
@cmd_list      = sys::lib::trim_sort(@cmd_list);
my $cmd;
my $cmd;
my $phase;
my $phase;
foreach my $Cmd_line (@cmd_list)
foreach my $Cmd_line (@cmd_list)
{
{
( $phase,$cmd) = split( /\::/ , $Cmd_line);
( $phase,$cmd) = split( /\::/ , $Cmd_line);
if (system($cmd)) {}
if (system($cmd)) {}
}
}
   return(0);
   return(0);
}
}
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.