OpenCores
URL https://opencores.org/ocsvn/spi_boot/spi_boot/trunk

Subversion Repositories spi_boot

[/] [spi_boot/] [trunk/] [rtl/] [vhdl/] [spi_boot_pack-p.vhd] - Diff between revs 74 and 77

Only display areas with differences | Details | Blame | View Log

Rev 74 Rev 77
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
-- SD/MMC Bootloader
-- SD/MMC Bootloader
--
--
-- $Id: spi_boot_pack-p.vhd,v 1.1 2005-02-08 20:41:33 arniml Exp $
-- $Id: spi_boot_pack-p.vhd 77 2009-04-01 19:53:14Z arniml $
--
--
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
 
 
library ieee;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
 
 
package spi_boot_pack is
package spi_boot_pack is
 
 
  function "=" (a : std_logic; b : integer) return boolean;
  function "=" (a : std_logic; b : integer) return boolean;
 
 
end spi_boot_pack;
end spi_boot_pack;
 
 
package body spi_boot_pack is
package body spi_boot_pack is
 
 
  function "=" (a : std_logic; b : integer) return boolean is
  function "=" (a : std_logic; b : integer) return boolean is
    variable result_v : boolean;
    variable result_v : boolean;
  begin
  begin
    result_v := false;
    result_v := false;
 
 
    case a is
    case a is
      when '0' =>
      when '0' =>
        if b = 0 then
        if b = 0 then
          result_v := true;
          result_v := true;
        end if;
        end if;
 
 
      when '1' =>
      when '1' =>
        if b = 1 then
        if b = 1 then
          result_v := true;
          result_v := true;
        end if;
        end if;
 
 
      when others =>
      when others =>
        null;
        null;
 
 
    end case;
    end case;
 
 
    return result_v;
    return result_v;
  end;
  end;
 
 
end spi_boot_pack;
end spi_boot_pack;
 
 
 
 
-------------------------------------------------------------------------------
 
-- File History:
 
--
 
-- $Log: not supported by cvs2svn $
 
-------------------------------------------------------------------------------
 
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.