OpenCores
URL https://opencores.org/ocsvn/srdydrdy_lib/srdydrdy_lib/trunk

Subversion Repositories srdydrdy_lib

[/] [srdydrdy_lib/] [trunk/] [examples/] [bridge/] [env/] [tests/] [overflow1.v] - Diff between revs 13 and 21

Only display areas with differences | Details | Blame | View Log

Rev 13 Rev 21
module sample_test;
module sample_test;
 
 
  integer pcount;
  integer pcount;
`include "test_tasks.v"
`include "test_tasks.v"
 
 
  initial
  initial
    begin
    begin
      wait (env_top.reset === 1'b0);
      wait (env_top.reset === 1'b0);
      #200;
      #200;
 
 
      repeat (`FIB_ENTRIES)
      repeat (`FIB_ENTRIES)
        @(posedge env_top.clk);
        @(posedge env_top.clk);
 
 
      fork
      fork
        begin : drv0
        begin : drv0
          repeat (600)
          repeat (600)
            env_top.driver0.send_packet ($random, $random, 64);
            env_top.driver0.send_packet ($random, $random, 64);
        end
        end
 
 
        begin : drv1
        begin : drv1
          repeat (300)
          repeat (300)
            env_top.driver1.send_packet ($random, $random, 128);
            env_top.driver1.send_packet ($random, $random, 128);
        end
        end
 
 
        begin : drv2
        begin : drv2
          repeat (450)
          repeat (450)
            env_top.driver2.send_packet ($random, $random, 96);
            env_top.driver2.send_packet ($random, $random, 96);
        end
        end
        begin : drv3
        begin : drv3
          repeat (150)
          repeat (150)
            env_top.driver3.send_packet ($random, $random, 256);
            env_top.driver3.send_packet ($random, $random, 256);
        end
        end
      join
      join
 
 
      #10000;
      #10000;
 
 
      get_packet_count (pcount);
      get_packet_count (pcount);
      check_expected (9, pcount);
      //check_expected (9, pcount);
 
      if (pcount <= 1900)
 
        $display ("ERROR -- Should receive at least 1900 packets");
      $display ("TEST: Received %d packets", pcount);
      $display ("TEST: Received %d packets", pcount);
      $finish;
      $finish;
    end
    end
 
 
endmodule // sample_test
endmodule // sample_test
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.